CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - 电路 分析

搜索资源列表

  1. AS3991Reader

    0下载:
  2. 本文结合超高频RFID读写器的发展趋势,提出了一种基于EPC Gen2标准的 915MHz超高频RFID读写器设计,并完成了系统测试。涉及的主要工作有: 1.分析了EPC Gen2标准的技术特点和各项指标,研究了UHF RFID读写器的 系统原理和基本结构,详细探讨了整个系统通信链路的传播特点。 2.分析了读写器射频电路。MCU采用单片机C8051F340,射频芯片采用奥地利 微电子的高集成度UHF RFID读写芯片AS3991。完成了硬件电路的搭建和测 试。 3.设
  3. 所属分类:SCM

    • 发布日期:2017-05-16
    • 文件大小:4340827
    • 提供者:HY jian
  1. UHF-RFID-CRC

    1下载:
  2. 本文首先研究了IsO/IECl8000.6标准中A、B两类短程通讯的前向链路与返回 链路的数据编码方式,对(FMO)双相间隔编码、(PIE)脉冲间隔编码、曼切斯特码 的编解码方式和技术参数进行了深入的分析,并利用FPGA实验平台对这三种编 码的编、解码电路进行了设计和仿真。然后对UHF RFID系统的差错控制技术原理 进行了探讨,重点研究了ISo/IECl8000.6标准中采用的数据保护与校验技术,即 循环冗余校验(CRC)技术。分析了基于线性反馈移位寄存器(LFSR)实现C
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:4366124
    • 提供者:HY jian
  1. pin-applications-and-functions

    0下载:
  2. NE555引脚应用与功能,NE555为8脚时基集成电路,各脚主要功能。为了便于我们分析和识别电路,更好的理解555电路, 这里我们这里按555电路的结构特点进行分类和归纳,-NE555 pin applications and functions, NE555 time base for the 8-pin IC, the main function of the foot. In order to facilitate our analysis and the identification
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-29
    • 文件大小:394551
    • 提供者:yanling
  1. DSP

    0下载:
  2. 学位论文 DSP控制的三相异步电机空间 矢量调速系统的开发 本文设计了系统整体的控制方案,并在simulink中完成了仿真,以验证方 案的可行性。然后以TMS320LF2407A为控制核心搭建了整体的硬件电路,并进 行了控制程序的编写,并对硬件调试和软件调试中遇到的问题进行了分析和总 结。最后进行上电测试,测试结果表明该系统运行稳定,达到了预期的控制要 求。 -This Paper designs the solution of the system
  3. 所属分类:DSP program

    • 发布日期:2017-05-15
    • 文件大小:3930330
    • 提供者:陈X
  1. xinhaofashengqi

    0下载:
  2. 信号发生器是一种能能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路。信号发生器在电路实验和设备检测中具有十分广泛的用途。现在我们通过对信号发生器的原理以及构成设计一个能变换出锯齿波、正弦波、方波的简易发生器。我们通过对电路的分析,参数的确定选择出一种最适合本课题的方案。在达到课题要求的前提下保证最经济、最方便、最优化的设计策略。按照设计的方案选择具体的原件,焊接出具体的实物图,并在实验室对焊接好的实物图进行调试,观察效果并与课题要求的性能指标作对比。最后分析影响因素。-Sign
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:159105
    • 提供者:韦韦
  1. 20_analog_circle

    0下载:
  2. 中级层次 中级层次 中级层次是能分析这二十个电路中的关键元器件的作用,每个元器件出现故障时电路的 功能受到什么影响,测量时参数的变化规律,掌握对故障元器件的处理方法;定性分析电路 信号的流向,相位变化;定性分析信号波形的变化过程;定性了解电路输入输出阻抗的大小, 信号与阻抗的关系。有了这些电路知识,您极有可能成长为电子产品和工业控制设备的出色 的维修维护技师 维修维护技师 维修维护技师 维修维护技师。 -Intermediate level Intermediate level
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:313754
    • 提供者:adadfgr
  1. sine-circuit

    0下载:
  2. 全面清楚地分析了正弦交流电路的概念、要素。-Comprehensive analysis of a clear concept of sinusoidal AC circuits, elements.
  3. 所属分类:SCM

    • 发布日期:2017-05-10
    • 文件大小:2527061
    • 提供者:雨刷
  1. logic_analysis

    0下载:
  2. 逻辑分析仪是一种类似于示波器的波形测试设备,它可以监测硬件电路工作时的逻辑电 平(高或低),存储后用图形的方式直观地表达出来,主要是方便用户在数字电路的调试中 观察输出的逻辑电平值。逻辑分析仪是电路开发中不可缺少的设备,通过它,可以迅速地定 位错误,解决问题,达到事半功倍的效果。如图 6.1 所示,一个逻辑分析的基本功能架构主 要包括数据采样、触发控制、数据存储和现实控制四大部分。 -Logic analyzer is an oscilloscope waveform simil
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:4314946
    • 提供者:MRIKO
  1. PointTest

    0下载:
  2. 电路画板程序,提供电路模块供用户连接电路图,并进行模拟分析-Circuit sketchpad program that provides for users to connect circuit module schematic, and simulation analysis
  3. 所属分类:SCM

    • 发布日期:2017-04-17
    • 文件大小:251244
    • 提供者:叶芳
  1. dianzizhong

    0下载:
  2. 电子信息技术的发展越来越快,电子产品在人们日常生活中的应用越来越普及。时钟是我们日常生活中不可缺少的一部分,本设计主要使用硬件实现电子时钟的计时、校验等功能。本文主要对时钟电路的选择、功能、原理、装配调试和故障分析排除进行阐述。该电子时钟主要从以下六个方面进行设计:脉冲源、整形电路、分频器、计数器、译码器/驱动器和校时电路。-The development of electronic information technology faster and faster, electronic pro
  3. 所属分类:SCM

    • 发布日期:2017-04-17
    • 文件大小:349592
    • 提供者:
  1. tongxinyuanli

    0下载:
  2. (1)、设计伪随机码电路:产生八位伪随机序列(如M序列、Gold序列等); (2)、了解D/A的工作原理及使用方法,将伪随机序列输入D/A中(如DAC0808),观察其模拟信号的特性; (3)、分析信号源的特点,使用EWB软件进行仿真; -(1), pseudo-random code circuit design: eight pseudo-random sequence generation (such as M sequences, Gold sequences, etc.)
  3. 所属分类:SCM

    • 发布日期:2017-04-17
    • 文件大小:233296
    • 提供者:张阳
  1. analysis

    0下载:
  2. 很经典的华为时序分析资料,用于电路的时序分析-Huawei is the classic time series analysis data for circuit timing analysis
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:699336
    • 提供者:hemiao
  1. HDL-DE-KE-ZHONGHE-JIANJIE

    0下载:
  2. 分析:制定规范 􀁺 设计:状态图,真值表,编写代码。 􀁺 验证:证明电路的正确性。仿真和形式化验 证。 􀁺 综合:高层次到低层次转换。生成网表 􀁺 测试:发现废品。生成测试向量-Analysis: norm 􀁺 design: state diagram, truth table, write the code. 􀁺 Authentication: proof of the c
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:201037
    • 提供者:zhujizhen
  1. The-CCD-drive-circuit-based-on-CPLD

    1下载:
  2. 基于CPLD的CCD驱动电路,QUARTUS仿真分析-The CCD drive circuit based on CPLD, QUARTUS simulation
  3. 所属分类:Other Embeded program

    • 发布日期:2017-03-27
    • 文件大小:5012
    • 提供者:zhangfan
  1. cnt10.vhd

    0下载:
  2. 设计一个10进制同步计数器,带一个清零端,一个进位输出端。(如果改成六进制,应该如何修改程序) 计数器分为同步计数器和异步计数器两种,是典型的时序电路,分析计数器就能更好的了解时序电路的特性。所谓同步计数器,就是在时钟脉冲的控制下,构成计数器的各触发器同时发生变化的那一类计数器。异步计数器又称行波计数器,它的下一位计数器的输出作为上一位计数器的时钟信号,这样一级一级串接起来就构成了一个异步计数器。异步计数器与同步计数器不同之处就在于时钟脉冲的提供方式,但是,由于异步计数器采用行波计数,从
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:1054
    • 提供者:chenguoxian
  1. microchip-for-car

    0下载:
  2. 针对小车在行驶过程中的寻迹要求,设计了以AT89C51单片机为核心的控制电路,采用模块化的设计方案,运用色标传感器、金属探测传感器、超声波传感器、霍尔传感器组成不同的检测电路,实现小车在行驶中轨迹、探测预埋金属铁片、躲避障碍物、测量车速等问题检测,并对设计的电路进行了理论分析和实际测试。结果表明,该智能小车具有很好的识别与检测的能力,具有定位精度、运行稳定可靠的特点-For the car in motion the process of tracing requirements, design
  3. 所属分类:SCM

    • 发布日期:2017-03-31
    • 文件大小:398737
    • 提供者:jerry123
  1. VHDL

    0下载:
  2. EDA技术以EDA软件工具为开发环境,以可编程逻辑器件为实验载体,实现源代码编程和仿真功能。VHDL作为一种标准化的硬件描述语言用于描述数字系统的结构、行为、功能和接口。本设计提出了一种基于VHDL语言的编码器和译码器的实现方法。编码器与译码器是计算机电路中基本的器件,本课程设计采用EDA技术设计编码和译码器。编码器由8线-3线优先编码器作为实例代表,译码器则包含3线-8线译码器和2线-4线译码器两个实例模块组成。课程设计采用硬件描述语言VHDL把电路按模块化方式进行设计,然后进行编程、时序仿
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:797281
    • 提供者:pear
  1. music

    0下载:
  2. 完成一个简易的音乐播放器,可以完成上一曲,下一曲,顺序播放,停止,暂停和液晶显示,同时还增加了单曲循环播放功能。在理论分析的基础上,用VHDL语言编写源代码,再配合具体电路连接,实现对蜂鸣器振动的控制,以及对各项显示功能的切换控制等。-Complete a simple music player that can be completed on a next one, the order of play, stop, pause, and liquid crystal display, but
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:152761
    • 提供者:谷丰
  1. Wireless-Data-Collector

    0下载:
  2. :分析了采用PC 机作为监控设备的缺陷,采用基于ARM 的无线传感器数据采集器的必要性。重点完成 了无线数据采集器电路设计和驱动程序设计。ARM9 通过SPI 总线进行数据的传输和相应的I/O 对CC2420 控制 根据Windows CE 的驱动模型,利用流式设备驱动程序来驱动CC2420,实现粮仓温湿度采集的要求。-: Analysis using the PC as a monitoring device defects, the use of ARM-based wireless
  3. 所属分类:Windows CE

    • 发布日期:2017-03-27
    • 文件大小:121983
    • 提供者:lixiaofeng
  1. FPGA-CPLD

    0下载:
  2. FPGA/CPLD设计经验分享,数字电路设计中的经典问题分析,很实用。-FPGA/CPLD design experience sharing, digital circuit design of the classic analysis, it is practical.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:967028
    • 提供者:蒋西
« 1 2 3 4 5 6 78 9 10 »
搜珍网 www.dssz.com