CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - 自动售货机

搜索资源列表

  1. verilog自动售货机

    1下载:
  2. 用Verilog实现的自动售货机,编译通过
  3. 所属分类:VHDL编程

    • 发布日期:2010-01-11
    • 文件大小:45716
    • 提供者:nkuxh@126.com
  1. fpga自动售货机verilog

    0下载:
  2. 自动售货机
  3. 所属分类:DSP编程

  1. hw8.rar

    1下载:
  2. Verilog中经典的自动售货机的源代码,包含测试程序,Vending machine in the classic Verilog source code, including test procedures
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:45716
    • 提供者:萧晓
  1. soldervhdl

    0下载:
  2. 自动售货机的vhdl程序 在quartus环境下编译运行通过-Vhdl program for vending machine
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:7026
    • 提供者:dreamy
  1. autoseller

    0下载:
  2. (1)、自动售货机可以出售4种货物,每种商品的数量和单价在初始化时设定,并存储在存储器中; (2)、采用模拟开关分别模拟5角和一元的硬币进行购物,并通过按键来选择商品; (3)、系统能够根据用户输入的硬币,判断钱币是否够,当所投硬币达到或超过购买者所选面值时,则根据顾客要求自动售货,并找回剩余的硬币,然后回到初始状态。当所投硬币不够时,则给出提示,并通过一个复位键退回所投硬币,然后回到初始状态。 -(1), vending machines can sell four kinds o
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:3215
    • 提供者:秦发斌
  1. +VHDL

    0下载:
  2. 很详细用VHDL写的自动售货机程序有详细的说明和设计要求实现功能-Very detailed written using VHDL vending machine procedure is described in detail and design requirements for the realization of function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:3260
    • 提供者:linhua
  1. softdrink

    0下载:
  2. 自动售货机实现,采用VERILOG语言编写源码,与大家分享,共大家参考-Vending machine implementation, the use of language VERILOG source to share with you a total of U.S. reference
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:635
    • 提供者:wangdali
  1. shop

    0下载:
  2. 自动售货机控制系统,具有对货物信息的存储,进程控制,硬币处理,余额计算和显示等功能。-Vending machine control system, with information on goods store, process control, coin processing, the balance of the calculation and display functions.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1738
    • 提供者:dong
  1. sellmachine

    0下载:
  2. 自动售货机,程序很完美,功能:货物信息存储,进程控制,硬币处理,余额计算,显示等功能-sell machine ,in VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1677
    • 提供者:zhuzi
  1. shouhuoji

    0下载:
  2. 用PLC对自动售货机进行控制,根据工作要求,写出梯形图并给出解释。 输入地址:X0:一元币识别口;X1:五元币识别口;X2:十元币识别口;X3:咖啡按钮;X4:汽水按钮; 输出地址:Y10:咖啡出口,Y11:汽水出口,Y12:咖啡按钮指示灯,Y13:汽水按钮指示灯, Y14:找钱指示灯。 工作要求:(售货机可投入1元,5元或10元硬币。) (1)当投入硬币总值超过12元时,汽水按钮知识灯亮;又当投入的硬币总值超过15元时,汽水及咖啡按钮灯都亮。 (2)当汽水按钮指示灯亮时
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-01
    • 文件大小:10424
    • 提供者:zh
  1. source_code

    0下载:
  2. 一个用c语言编写的自动售货机控制器源代码-A with c language source code for vending machine controller
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:134449
    • 提供者:lin kai
  1. Autoseller

    0下载:
  2. 基于VHDL开发的自动售货机系统,可实现自动售货过程中的基本功能,具有一定的代表性。-VHDL-based development of a vending machine system which can automatically process the basic functions of sales, with a certain representativeness.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:5194
    • 提供者:Joseph
  1. zdshj

    0下载:
  2. 自动售货机控制系统设计 要求: 设计制作一个自动售货机控制系统。 该系统能完成货物信息存储,进程控制,硬币处理,余额计算,显示等功能。 该系统可以管理四种货物,每种的数量和单价在初始化时输入,在存储器中存储。用户可以用硬币进行购物,按键进行选择。 系统根据用户输入的货币,判断钱币是否够,钱币足够则根据顾客的要求自动售货,钱币不够则给出提示并退出。 系统自动的计算出应找钱币余额、库存数量并显示。 -Vending machine control system desig
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-08
    • 文件大小:67212
    • 提供者:dws
  1. automat

    0下载:
  2. 自动售货机:1、通过开关选择购买6角或8角的邮票;2、按下购买键,开始购买;3、按相应键,输入1角、5角、1元硬币;4、当输入硬币总值大于等于欲买邮票价值时,相应邮票输出并找零(邮票输出,找零均用LED灯表示,找零也有1角、5角、1元三种)5、若想终止交易,可按退钱键,退出已输硬币,交易结束。-Vending machines: one, through the switch to choose to buy six cents or 8 corner stamps 2, press the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-11-11
    • 文件大小:991110
    • 提供者:duj
  1. shouhuoji

    0下载:
  2. 用Verilog语言实现自动售货机,外加实现课件-Automatic vending machines with the Verilog language, along with realization of courseware
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:664533
    • 提供者:万小中
  1. Codejia.com_586ab1766e2b4c702140df55f6e51c1f

    0下载:
  2. 自动售货机系统, 希望对大家有帮助-Vending machine system, we want to help
  3. 所属分类:SCM

    • 发布日期:2017-05-17
    • 文件大小:4540344
    • 提供者:李辉
  1. zidongshouhuojisheji

    1下载:
  2. 本文采用Verilog HDL描述语言实现自动售货机系统的销售动作,用有限状态机进行系统状态描述,自动售货机通电复位时,自动进入系统初始状态,本文设计的自动售货机控制系统主要可以实现投币处理、计算投币总额、输出商品,输出找零、余额计算并显示等功能。-This verilog hdl describe language used for automatic machines system of action, with a limited system of state, state, the v
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:34587
    • 提供者:高菲悦
  1. 饮料售货机verilog

    0下载:
  2. 设计一个自动售货机系统,每份5分钱的饮料,只能投1分、2分、5分硬币,要求正确地找回钱数。
  3. 所属分类:VHDL编程

  1. 基于FPGA的自动售货机毕业设计

    0下载:
  2. 基于FPGA的自动售货机,采用quartus 2 编写,方便可用(Vending machine based on FPGA)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-26
    • 文件大小:208896
    • 提供者:福猪公爵
  1. 自动售货机

    1下载:
  2. 使用1200PLC仿真实现自动售货机的运行过程(Using 1200 PLC to simulate the running process of vending machine)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2021-04-24
    • 文件大小:739328
    • 提供者:singker
« 12 3 4 5 6 7 8 9 10 »
搜珍网 www.dssz.com