CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - 进位加法

搜索资源列表

  1. 4bits_alu

    0下载:
  2. 实现4位加减乘除的alu,采用超前进位加法和布斯乘法,代码较为简单。-achieve four of the ALU arithmetic using CLA Bush and multiplication, code more simple.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:262257
    • 提供者:陈晓炜
  1. MCS-51定点运算子程序库

    0下载:
  2. MCS-51定点运算子程序库,定点运算子程序库文件名为DQ51.ASM,为便于使用,先将有关约定说明如下: 1.多字节定点操作数:用[R0]或[R1]来表示存放在由R0或R1指示的连续单元中的数 据。地址小的单元存放数据的高字节。例如:[R0]=123456H,若(R0)=30H,则(30H)=12H, (31H)=34H,(32H)=56H。 2.运算精度:单次定点运算精度为结果最低位的当量值。 3.工作区:数据工作区固定在PSW、A、B、R2~R7,用户只要不在
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2014-01-16
    • 文件大小:2861
    • 提供者:limengsi
  1. bcd

    0下载:
  2. 功能:多字节BCD码加法和减法 入口条件:字节数在R7中,被加数在[R0]中,加数在[R1]中。 出口信息:和在[R0]中,最高位进位在CY中。 影响资源:PSW、A、R2 堆栈需求: 2字节
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:3443
    • 提供者:kos
  1. DEC_ADD

    0下载:
  2. 16位10进制加法程序,KEIL51编写,适合单片机
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:14218
    • 提供者:yanyjjzs33
  1. adder8b.rar

    0下载:
  2. 用VHDL设计一个八位并行加法器,该八位并行加法器是有两个四位二进制并行加法器通过级联而成,先设计两个四位二进制并行加法器分别表示八位数中的低四位和高四位以及其加法(含进位),再将两个四位并行加法器级联成一个八位并行加法器。这种方法原理简单,资源利用率和进位速度方面都比较好。,VHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:189838
    • 提供者:赵祥
  1. 10fenpingqi

    0下载:
  2. 1、分别用IF语句和CASE语句设设计一个10分频器。 2、设计一个24进制加法计数器。 3、设计一个有使能端控制的4位减法计数器。 4、用case语句设计一个3-8译码电路 5、用CASE语句设计一个共阳极的七段译码电路。 6、已知输入信号为6MHZ,现需要输出2HZ信号,分别用if语句和CASE语句设计能实现该功能的电路 7、已知输入信号为9HZ,现需要输出2HZ信号,分别用if语句和CASE语句设计能实现该功能的电路 -1, respectively, with
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1832
    • 提供者:fox
  1. adder_32

    0下载:
  2. 超前进位加法器是通常数字设计所必备的,本程序为32位超前进位加法器-CLA is usually necessary for digital design, the procedure for 32-bit CLA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-05-18
    • 文件大小:1185
    • 提供者:zhaohongliang
  1. VHDLadderdoc

    0下载:
  2. 为了减轻大家负担,在次把带进位输入的8位加法计数器上传,希望能出分;力-In order to alleviate the burden on everyone, in time to enter into an 8-bit adder counter From the hope of a points force
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:377456
    • 提供者:
  1. VHDLaddercode

    0下载:
  2. 为了给大家紧张的工作减轻点负担,我把带进位输入的8位加法计数器上传在此,希望大家支持-In order to alleviate the intense work we point the burden, I entered into the 8-bit adder counter From Here, I hope you will support
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1362467
    • 提供者:
  1. danpianji

    0下载:
  2. 1.程序一:在显示器上显示四位十六进制数; 2.程序二:将8个键按实验室要求定义键值,按任意键在显示器上显示对应键值,要求显示能左移 3.程序三实现以下要求: a).定义键盘按键,5个为数字键,3个功能键:加号+,乘号*,等号=; b).可进行三位16进制加法运算; c).可进行两位16进制乘法运算。 -1. Procedure: in the display to show four hexadecimal number 2. Procedure II: 8 k
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-30
    • 文件大小:14173
    • 提供者:无语
  1. daima

    0下载:
  2. 用VHDL语言设计一个8位加法器: 在八位加法器代码一中:加法器是由两个4位二进制加法器U1和U2组成的8位加法器逻辑电路,其中U1用来装载8位加法器中两个加数的低4位,而U2则用来装载高4位。在设计4位加法器时,定义输入信号量CIN、A、B以及输出信号量S、Cout。定义信号量SINT/AA/BB,将加数A和0并置后赋给AA,加数B和0并置后赋给BB,形成5位二进制数,这是为在做加法时发生溢出所做的处理,然后将加数AA与BB以及进位Cin相加赋给SINT,并将SINT的低4位赋给加数和S输
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-01-26
    • 文件大小:8994
    • 提供者:SAM
  1. alu_32_bit

    0下载:
  2. 用Verilog编写的32位ALU(运算器),具有与、或逻辑运算;加、减算术运算;小于置一,零检测,以及溢出检测等功能。其中加法运算是采用了快速进位链-32bitALU
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:2019
    • 提供者:zhyan
  1. CNT4

    0下载:
  2. 4进制加法计数器,实现简单的4进制计数功能, 有进位输出,清零复位的功能,简单易行.-4 binary counter addition, the 4 simple binary counting function, carry out, clear reset function, simple.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:179084
    • 提供者:寒星
  1. bcd_adder_8

    0下载:
  2. 一个程序,完成2位8421BCD码加法运算,带有输入进位和输出进位-BCD code implements the addition of two
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:283055
    • 提供者:Simom
  1. Adder_2bit

    0下载:
  2. Adder_2bit ,带进位处理的2位加法器 此实验中,实现了2bit宽度的加法运算,并带进位处理。加数与被加数分别以SW[3..2]和SW[1..0]来表示,加法的结果用数码管静态地显示出来。-Adder_2bit, with carry handle 2-bit adder this experiment, the realization of the addition operation 2bit width, and bit into the handle. Addend and
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:249238
    • 提供者:王晨
  1. BCD

    0下载:
  2. 51单片机实现,用汇编实现BCD码的十进制加法,低位不带进位加法,高位带进位加法-51 assembly to achieve BCD coded decimal addition
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:9045
    • 提供者:liwenjin
  1. Smpl_DrvACMP

    0下载:
  2. 关于芯唐M0核 ADC源码 ADC 带进位的加法指令 ADC Reg/Mem, Reg/Mem/Imm 功能,将目的操作数和源操作数相加再加低位进位,结果送入目的的地址 dst+src+cf->dst, 受影响的标志位:AF、CF、OF、PF、SF和ZF,该指令的功能是把源操作数和进位标志位CF的值(0/1)一起加到目的操作数中。-About Nuvoton M0 nuclear ADC source Add instruction ADC into the ADC of Reg/
  3. 所属分类:SCM

    • 发布日期:2017-03-26
    • 文件大小:8514
    • 提供者:侯学元
  1. 16

    1下载:
  2. 编写两个十六位数的加法程序。 有两个十六位无符号数,分别存放在从20H和30H开始的数据区中,低八位先存,高八位在后,和存于R3(高八位)和R4(低八位),进位位存于R2。-Write two sixteen digits addition program. There are two 16 bit unsigned number, respectively in from 20 h and 30 h began to data area, low eight put first, hi
  3. 所属分类:Other Embeded program

    • 发布日期:2017-11-14
    • 文件大小:1163
    • 提供者:张博
  1. BCD-counter

    4下载:
  2. 一个2位的BCD码十进制加法计数器电路,输入为时钟信号CLK,进位 输入信号CIN,每个BCD码十进制加法计数器的输出信号为D、C、B、A和进位输出信号COUT,输入时钟信号CLK用固定时钟,进位输入信号CIN. -A 2-bit BCD code decimal adder counter circuit input as the clock signal CLK, a carry input signal CIN, D, C, B, A, and the carry output s
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-13
    • 文件大小:926
    • 提供者:victor
  1. adder

    0下载:
  2. 进位加法,实现两个数的相加功能,可以扩展到多位数相加(Carry addition, to achieve the addition function of two numbers, can be extended to the number of add)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-25
    • 文件大小:258048
    • 提供者:凌风ts
« 12 »
搜珍网 www.dssz.com