CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - 进制 计数器

搜索资源列表

  1. counter10

    0下载:
  2. 该程序实现的是10进制的计数器,具有置位复位的功能。-the program is the band of 10 counters, with the home-reset function.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:13298
    • 提供者:许嘉璐
  1. 2460100Time

    0下载:
  2. 24,60,100进制的计数器,还有数字时钟,欢迎下载哦~-24,60,100 229 of the counter, digital clock also welcome to download oh ~
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2118
    • 提供者:张春
  1. 100_jishuqi

    1下载:
  2. 该代码是100进制可逆计数器的源代码,已经在软件上调试过了,比较有用的-100 of the code is 229 CNTR the source code, the software has increased tried, the more useful
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:17612
    • 提供者:yuxyoo
  1. mcu_51_example_programmers

    1下载:
  2. C51实例代码:FLASH驱动,IIC,usart,触摸液晶控制显示,电热壶烧水程序,定点滤波,定时器及计数器的生成,二分法查表,浮点滤波,浮点滤波,控制SED1335的液晶显示,软件看门狗,十六进制_BCD码相互转换,时间倒计时,实时时钟,数字时钟,温度芯片驱动,无线数据传输,以太网芯片-C51 code examples : Flash-driven, IIC, usart, touch control LCD, Electric pot boiling water procedure, s
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:311938
    • 提供者:Jawen
  1. NumClock

    0下载:
  2. 基于Altera公司系列FPGA(Cyclone EP1C3T144C8)、Verilog HDL、MAX7219数码管显示芯片、4X4矩阵键盘、TDA2822功放芯片及扬声器等实现了《电子线路设计• 测试• 实验》课程中多功能数字钟实验所要求的所有功能和其它一些扩展功能。包括:基本功能——以数字形式显示时、分、秒的时间,小时计数器为同步24进制,可手动校时、校分;扩展功能——仿广播电台正点报时,任意时刻闹钟(选做),自动报整点时数(选做);其它扩展功能——显示年月日(能处理
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:23375
    • 提供者:田世坤
  1. dpjjx

    0下载:
  2. 把所有端口的同时置高置低,不断闪烁 2 p1 口3 路流水灯理解2 进制数与端口的关系 3 单片机的加法:把52h+0fch 结果送p1 口 4 单片机的乘法:把ff*03h 结果送p1 5 单片机的二进制加法 6 单片机的两位计数器 7 学习单片机的逻辑运算 8 进一步学习单片机的逻辑运算 9 循环移位指令的流水灯 10 理解熟悉散转结构的程序 11 位操作指令的学习 12 比较指令的学习与cy位
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1533428
    • 提供者:西岭雪
  1. 7vhdl

    0下载:
  2. 16 进制段位数码译码扫描显示,用VHDL编写计数器并完成计数显示
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:61981
    • 提供者:wang
  1. c4240c

    0下载:
  2. 一个通用计数器的VHDL源代码,只要稍做修改,就可以实现各种进制的计数器。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:858
    • 提供者:litao
  1. work5FREQTEST

    0下载:
  2. 8位十六进制频率计设计 根据频率的定义和频率测量的基本原理,测定信号的频率必须有一个脉宽为1s的输入信号脉冲计数允许信号;1s计数结束后,计数值被锁入锁存器,计数器清零,为下一测频计数周期做好准备。测频控制信号可由一个独立的发生器(FTCTRL)来产生。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:244660
    • 提供者:lkiwood
  1. 10fenpingqi

    0下载:
  2. 1、分别用IF语句和CASE语句设设计一个10分频器。 2、设计一个24进制加法计数器。 3、设计一个有使能端控制的4位减法计数器。 4、用case语句设计一个3-8译码电路 5、用CASE语句设计一个共阳极的七段译码电路。 6、已知输入信号为6MHZ,现需要输出2HZ信号,分别用if语句和CASE语句设计能实现该功能的电路 7、已知输入信号为9HZ,现需要输出2HZ信号,分别用if语句和CASE语句设计能实现该功能的电路 -1, respectively, with
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1832
    • 提供者:fox
  1. COUNT

    0下载:
  2. 这是一个十六进制的加减计数器源代码,把其修改一下就可以用其他进制了-This is a hexadecimal addition and subtraction counter source code, its change it can use other hex of the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:283402
    • 提供者:max
  1. stopwatch

    0下载:
  2. 此为秒表计数器的硬件描述语言源程序,有清零键和暂停键。该例子比较简单,适合初学者。有分频、十进制、六进制、秒表共四部分组成-This is the stopwatch counter hardware descr iption language source code , a clear key and the Pause button . The example is simple , suitable for beginners . Took part in the frequency ,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:185628
    • 提供者:jacob
  1. 22

    0下载:
  2. 使用VHDL实现16进制的计数器的算法程序-Use VHDL to achieve 16 of the counter-band algorithm procedure
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:566
    • 提供者:夜之灵
  1. seller_moore

    0下载:
  2. 用Verilog实现十六进制计数器。内含有整个完整工程。包括tb文件。-realiaztion of timer16 using verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-05
    • 文件大小:42362
    • 提供者:朴巍
  1. timer16

    0下载:
  2. 十六进制计数器的的Verilog实现。内有整个工程,包括tb文件。仿真可通过-realizaiton of timer16
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:37177
    • 提供者:朴巍
  1. CNT12

    0下载:
  2. 通过一个简单完整而典型的12进制计数器的VHDL设计实例,来使大家初步了解用VHDL表达以及由此而引出的VHDL语言现象和语句规则。 让大家能够迅速的从整体上把握VHDL程序的基本结构和设计特点,达到快速入门的目的。 -Through a simple and complete and typical 12-band counter VHDL design examples, to make preliminary understanding of VHDL expression and the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:34158
    • 提供者:XINGJINGYU
  1. count6

    0下载:
  2. 本科课程设计 六进制计数器,带电路原理图,编译已通过。-Undergraduate course design- six decimal counter.Circuit diagram with the compiler has been adopted.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-14
    • 文件大小:542671
    • 提供者:耀敬
  1. Johnaon_counter

    0下载:
  2. 本设计为六位约翰逊(Johnson)计数器,首先给大家介绍一下什么是约翰逊计数器,它又称扭环计数器,是一种用n位触发器来表示2n个状态的计数器。它与环形计数器不同,后者用n位触发器仅可表示n个状态。2~n进制计数器(n为触发器的个数)有2~n个状态。若以6位二进制计数器为例,它可表示64个状态。但由于8421码每组代码之间可能有二位或二位以上的二进制代码发生改变,这在计数器中特别是异步计数器中就有可能产生错误的译码信号,从而造成永久性的错误。而约翰逊计数器的状态表中,相邻两组代码只可能有一位二进
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-12
    • 文件大小:6299
    • 提供者:Leegege
  1. baduanshumaguan

    0下载:
  2. 用VHDL语言设计并实现一电路,其功能是8个数码管分别显示数字0-7。首先是数码管0显示0,其他数码管不显示;然后是数码管1显示1,其他数码管不显示;依此类推,数码管7显示完后再显示数码管0,这样循环下去。(提示:数字0-7的循环可以使用8进制计数器对1Hz的时钟信号进行计数得到,计数器的输出送到BCD到七段数码管的译码器,由其驱动数码管显示相应的数字。)(Using VHDL language to design and implement a circuit, its function is
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-03
    • 文件大小:110592
    • 提供者:一个人丶
  1. LED_DISP

    0下载:
  2. 输入时钟4MHz,分频至1Hz,对时钟计数,LED显示输出,加使能EN和复位RST(Input clock 4MHz, frequency division to 1Hz, clock count, LED display output, add enable EN and reset RST)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-10
    • 文件大小:1586176
    • 提供者:MmDawN
« 1 2 3 4 5 67 8 »
搜珍网 www.dssz.com