CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - 键盘编码

搜索资源列表

  1. QTH2

    0下载:
  2. 这是一个用于测试7279的键盘编码的程序-This is a test for the 7279 keyboard coding procedures
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:61508
    • 提供者:dong
  1. memo

    0下载:
  2. 基于51单片机的CA1602A液晶显示,串行传输,键盘编码等源代码
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:19598
    • 提供者:JJW
  1. key lcd参考例程

    1下载:
  2. 程序描述:1.开始运行时,LCD两行显示Welcome 2 Tongji 2.等待键盘按键,有键按下时在LCD第1排最右边显示相应键号目的: 键盘查询、键盘中断、键值识别、键盘编码点阵字符型液晶显示(LCD)编程
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2011-01-16
    • 文件大小:14261
    • 提供者:wsy2501
  1. 4X4行列式非编码键盘扫描程序

    0下载:
  2. 4X4行列式非编码键盘扫描程序
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2012-05-18
    • 文件大小:625
    • 提供者:drobin@126.com
  1. PS2KB_UART

    0下载:
  2. mega16读取PS2键盘编码,并且解码以后通过UART发送到串口显示。直接发送不存在按键缓冲的问题D7口接蜂鸣器用于标记有按键按下 -mega16 read PS2 keyboard encoding and decoding through the UART later sent to the serial display. Direct Send button does not exist the problem of buffer D7 I then have to tag buz
  3. 所属分类:SCM

    • 发布日期:2017-04-13
    • 文件大小:2722
    • 提供者:苗显
  1. 1

    0下载:
  2. 4×4矩阵式键盘识别技术 (1.4×4矩阵键盘识别处理 (2.每个按键有它的行值和列值 ,行值和列值的组合就是识别这个按键的编码。矩阵的行线和列线分别通过两并行接口和CPU通信。每个按键的状态同样需变成数字量“0”和“1”,开关的一端(列线)通过电阻接VCC,而接地是通过程序输出数字“0”实现的。键盘处理程序的任务是:确定有无键按下,判断哪一个键按下,键的功能是什么;还要消除按键在闭合或断开时的抖动。两个并行口中,一个输出扫描码,使按键逐行动态接地,另一个并行口输入按键状态,由
  3. 所属分类:SCM

    • 发布日期:2017-04-07
    • 文件大小:538
    • 提供者:廖海涛
  1. ps2test

    0下载:
  2. PS/2键盘接口实验: 将PC键盘接入板上PS/2接口,按下键盘任意键,LED等将显示键盘传来的编码-PS/2 keyboard interface experiment: the PC keyboard, access to on-board PS/2 interface, press any key on the keyboard, LED, etc. The code will display the keyboard came
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:106831
    • 提供者:panda
  1. keylcd

    0下载:
  2. 程序描述: * * 1.开始运行时,LCD两行显示TJU EIE07 072699 * * * * 2.等待键盘按键,有键按下时在LCD第2排最左边显示相应键号 * *目的: 键盘查询、键盘中断、键值识别、键盘编码 * * 点阵字符型液晶显示(LCD)编程 *-Procedure Descr iption:** 1. Started running, LCD two-line display TJU EIE07 072699**** 2. Wait for the keybo
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:3684
    • 提供者:veshine
  1. lesson5

    0下载:
  2. 键盘用来做什么、如何键盘检测、消抖、键盘编码、带返回值函数写法及应用-The keyboard is used to do, how the keyboard detection, elimination shaking, keyboard codes, with return value of the function and application of writing
  3. 所属分类:SCM

    • 发布日期:2017-04-05
    • 文件大小:32813
    • 提供者:小为
  1. ps2test

    0下载:
  2. 本代码功能为实现接收PS2键盘编码功能。 程序通过quartusII 8.1编译,使用verilog语言编写。 可在彬杰科技*BJTECH公司基于altera epm240的开发板上验证。 (开发板网址http://item.taobao.com/auction/item_detail-0db1-69fe7069aa3ba544abf783bc4427b377.htm) 有需要的朋友可以下载参考-The code functions to achieve the receiver
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:119182
    • 提供者:彬杰科技
  1. ASCII_Keyboard_encoding_circuit_design_information

    0下载:
  2. ASCII码键盘编码电路设计实现资料ASCII, Keyboard encoding circuit design information-ASCII, Keyboard encoding circuit design information on ASCII, Keyboard encoding circuit design information
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-06
    • 文件大小:464205
    • 提供者:cm
  1. key_dis

    0下载:
  2. C51单片机16键键盘扫描与显示按键编码,键盘扫描方法为行扫描。键盘连线方法见Proteus电路图。-C51 series SCM 4x4 keypad scanning and display the key name. The method of the program is row scanning.
  3. 所属分类:SCM

    • 发布日期:2017-04-02
    • 文件大小:55327
    • 提供者:haoshuzhuang
  1. Keyboard

    0下载:
  2. 基于TMS320C5509A的dsp的键盘编码实验,CCS3.3环境下编译运行通过。-Dsp based TMS320C5509A the keyboard coding experiments, CCS3.3 environment compile run through.
  3. 所属分类:DSP program

    • 发布日期:2017-05-02
    • 文件大小:552292
    • 提供者:lacrimosa
  1. ps2

    0下载:
  2. 基于vhdl的ps2键盘编码显示模块,经测试,效果非常好-Vhdl coding based on the ps2 keyboard display module, tested, very good
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:393258
    • 提供者:zhang
  1. test1

    0下载:
  2. AVR单片机键盘控制,用于单片机上的矩阵键盘编码-AVR microcontroller keyboard control for keyboard matrix code on the microcontroller
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-06
    • 文件大小:2462
    • 提供者:东方萧清
  1. PS2-keyboard

    0下载:
  2. 接收PS2键盘编码,有51单片机上调试通过编写于2010年9月-Receive PS2 keyboard encoding, there are 51 single-chip debugging through writing in September 2010
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:16154
    • 提供者:梁宗欢
  1. MC68HC908GP32_KB

    0下载:
  2. MC68HC908GP32按键操作包括键盘扫描,键盘中断,键值识别,键盘编码等。 -MC68HC908GP32 key operation including keyboard scanning, keyboard, key identification, keyboard coding.
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:86886
    • 提供者:han
  1. KU

    0下载:
  2. 基于lpc2103的ps2键盘编码解码程序-ps2 key key
  3. 所属分类:SCM

    • 发布日期:2017-04-07
    • 文件大小:994
    • 提供者:荣平
  1. PS2_Demo_Sys_restored

    0下载:
  2. PS/2的键盘编码、解码演示系统的设计,verilog实现。-Keyboard coded PS/2, and decoding demonstration system design, verilog achieved.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1243398
    • 提供者:GaoMin
  1. 4乘4键盘扫描控制器

    2下载:
  2. 1. 键值采用16进制编码,即16个按键分别对应显示16进制数 0~F,按键对应关系如下:最上面一行从左至右依次为0~3, 第二行从左至右依次为4~7,第三行从左至右依次为8~B,最 下面一行从左至右依次为C~F,其中b、d显示为小写,其他字 母大写; 2. 按键按下时显示当前键值并保持,直到下一按键被按下时更新 显示; 3. 只有按键被按下时蜂鸣器发出按键音,放开后蜂鸣器不发声。 4. 每个按键对应不同的按键音。(1. The key value is encoded in hexadecim
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-06-13
    • 文件大小:3444736
    • 提供者:Minbadly
« 12 3 4 5 6 »
搜珍网 www.dssz.com