CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - ;-s

搜索资源列表

  1. WinCE通信指南之配套光盘

    1下载:
  2. WINDOWS CE 通信指南(附光盘) 本书配套光盘内容包括:本书的英文版电子书;SDK for Windows CE,Handheld PC Professional Edition 3.0;范例源代码;送“Internet互联网即时通”多媒体学习软件。 本书是Microsoft Windows CE开发人员指南系列丛书之一。Microsoft Windows CE是公司为系统资源有限的设备开发的一种小型操作系统。因为Windows CE具有模块化的设计特征,所以能够适用于
  3. 所属分类:Windows CE

    • 发布日期:2008-10-13
    • 文件大小:390090
    • 提供者:alen
  1. 数字钟

    0下载:
  2. 报时小闹钟 本程序是一个用汇编编的精致的图形时钟,运行时双击clock图标即可, 钟表显示的时间为本机系统的时间。   按b键可扩大画面 ;按s键可缩小画面;按c键可改变颜色;按e键可听音乐; 按q键退出本程序. -timekeeping small alarm clock this procedure is a compilation series with exquisite graphics clock, double-click the clock running i
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:8345
    • 提供者:张成
  1. SIN_fashengqi

    0下载:
  2. 2006altera大赛-基于软核Nios的宽谱正弦信号发生器设计:摘要:本设计运用了基于 Nios II 嵌入式处理器的 SOPC 技术。系统以 ALTERA公司的 Cyclone 系列 FPGA 为数字平台,将微处理器、总线、数字频率合成器、存储器和 I/O 接口等硬件设备集中在一片 FPGA 上,利用直接数字频率合成技术、数字调制技术实现所要求波形的产生,用 FPGA 中的 ROM 储存 DDS 所需的波形表,充分利用片上资源,提高了系统的精确度、稳定性和抗干扰性能。使用新的数字信号处理(
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:407706
    • 提供者:刘斐
  1. verilogshejiMiLeJIEMAQI

    2下载:
  2. 用verilog设计密勒解码器 一、题目: 设计一个密勒解码器电路 二、输入信号: 1. DIN:输入数据 2. CLK:频率为2MHz的方波,占空比为50% 3. RESET:复位信号,低有效 三、输入信号说明: 输入数据为串行改进密勒码,每个码元持续时间为8μs,即16个CLK时钟;数据流是由A、B、C三种信号组成; A:前8个时钟保持“1”,接着5个时钟变为“0”,最后3个时钟为“1”。 B:在整个码元持续时间内都没有出现“0”,即连续16个时钟保
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:212699
    • 提供者:mingming
  1. 44B0_bios_keil

    0下载:
  2. 说明:S3C44B0 BIOS的keil CARM版 源代码来自互连网,由本人进行了一些修改,使其在keil UV3 2.5A上编译通过。 主要修改的地方在启动文件,FLASH的烧录部分,另外在控制台中增加了几个命令。 硬件平台为: CPU:S3C44B0;SDRAM:HY57V641620;FLASH:29LV160DB;网卡芯片:RTL8019AS。 本软件仅供学习交流使用,不得用于其它用途,否则后果自负。 解压密码请用 computer00 更新信息请看
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:70919
    • 提供者:Liu
  1. I2C

    0下载:
  2. 6、《I2C》 1)下载程序 2)设置COM口 3)设置波特率:9600;校验位:NONE;数据位:8;停止位:1 4)单字串发送区:输入字符,注意:字符开始为s,结尾为e。
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:26657
    • 提供者:段威吉
  1. e1c0df5d-c8bc-48a5-bc02-a2b7c51c0dbf

    0下载:
  2. 经过一段时间的使用,上次的硬盘MP3播放器增加了USB下载文件功能; 汉字LCD显示功能;子文件夹功能等。并对软件做了一些修改。并将 硬件电路图画出来了。由于做得仓促,难免有不妥之处,请大家谅解, 欢迎指出错误。谢谢。 现在情况如下: 支持FAT32,FAT16/12还未做。 支持USB下载文件功能,速度300KB/S左右(USB1.1)。 无录音功能。 7.5*2个汉字LCD显示功能,能显示歌曲名,路径名(滚动显示) 支持多个文件夹功能。 有
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2008-10-13
    • 文件大小:304292
    • 提供者:徐涛
  1. IDEdeskMP3playercode

    0下载:
  2. 增加了USB下载文件功能;汉字LCD显示功能;子文件夹功能等。并对软件做了一些修改。 现在情况如下: 支持FAT32,FAT16/12还未做。 支持USB下载文件功能,速度300KB/S左右(USB1.1)。 无录音功能。 7.5*2个汉字LCD显示功能,能显示歌曲名,路径名(滚动显示) 支持多个文件夹功能。 有前后选曲、暂停、软件音量、高、中、低音控制,重低音音效等功能。
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:305798
    • 提供者:无舟
  1. VxWorks_xysx

    0下载:
  2. 基于VxWorks系统的简单网络管理协议的实现.pdf :描述了基于VxWorks系统的简单网络管理协议的实现。培出一个管理模型,介绍了简单网络管理协议的组成结构;详细描述了简单网络管理协议以客户机/服务器模式在YxWo~s系统上的实现原理。
  3. 所属分类:VxWorks

    • 发布日期:2008-10-13
    • 文件大小:152407
    • 提供者:GB
  1. m25

    0下载:
  2. 参加吴总为公司新员工主持的公司发展前景规划培训; 3月22日:参加公司产品技术培训;-participation payment? New employees of the company presided over the company's future planning training; March 22 : Participation of product technical training;
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:6643
    • 提供者:czx
  1. 引爆器

    0下载:
  2. 数字密码引爆器的输入描述:1、 在开始输入密码以前的等待状态,首先要按READY键,表示目前准备就绪,可以输入数字密码;2、 当引爆事件发生后,应该回到等待状态,设置WAIT_T键;3、 如果输入密码不正确,此时要操作READY和WAIT_T是不起作用的,必须由设计人员重新设置到等待状态,设置SETUP键,SETUP为内部按键,操作人员应该不能接触;4、 确定密码输入后,要设计一个点火按键FIRE;-digit passwords detonated's input Descr ipti
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:24876
    • 提供者:刘卫
  1. MC9S12DG128B.rar

    0下载:
  2. 飞思卡尔S12 系列单片机系统硬件设计,详细介绍了该单片机的硬件使用,为全中文,MC9S12DG128B 有16路AD 转换,精度最高可设置为10 位;有8 路8 位PWM 并可两两级联为16 位精度PWM,特别适合用于控制多电机系统。它的串行通信端口也非常丰富,有2 路SCI,2 路SPI 此外还有IIC,CAN总线等端口,并且采用了引角复用功能,使得这些功能引角也可设置为普通的IO 端口使用。此外 它内部还集成了完整的模糊逻辑指令,可大大简化我们的程序设计。,Freescale s S12
  3. 所属分类:SCM

    • 发布日期:2017-04-10
    • 文件大小:1228356
    • 提供者:宁海
  1. TMS320F28335_ALL_Examples

    1下载:
  2. DSP TMS320F28335的全套开发例程,来自SEED仿真器的资料,大概有几十个例程,适合28335的初学者-DSP TMS320F28335 development of a full set of routines, from SEED emulator information ;about dozens of routines for the beginner of the DSP28335
  3. 所属分类:DSP编程

    • 发布日期:2013-09-26
    • 文件大小:3844501
    • 提供者:戴欢欢
  1. C8051F_CC2420_SPI_initialization

    0下载:
  2. C8051F120初始化SPI,启动CC2420。通过将CC2420 得VREG_EN 引脚置位高电平使能Voltage regulator,等待其稳定;然后重新启动CC2420 芯片,等待晶振起振。-Initialize SPI, start the CC2420. By CC2420 have VREG_EN pin high to enable the set Voltage regulator, waiting for their stability and then re-sta
  3. 所属分类:SCM

    • 发布日期:2017-04-05
    • 文件大小:71592
    • 提供者:关飞
  1. spi_51

    0下载:
  2. 51模拟spi通讯;ISIS仿真-51 moni spi
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:54812
    • 提供者:黄军
  1. 飞思卡尔CAN模块源代码

    1下载:
  2. 飞思卡尔的CAN收发源代码,很适合初学者的模板(freescale's CAN source code, it is suitable for beginners)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2017-12-16
    • 文件大小:55296
    • 提供者:piupiu
  1. LDC1314_STM32F103(解决硬件IIC)

    1下载:
  2. 通过f103的硬件iic1驱动ldc1314 实现4通道扫描(4 channel scans are realized by F103's hardware iic1 driven ldc1314)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2018-01-07
    • 文件大小:6459392
    • 提供者:nightcore
  1. project

    0下载:
  2. stm32的GPIO配置,做小车程序的一个工程模板,不用自己建立工程了,KEIL5可用(STM32's GPIO configuration, as an engineering template for the car program, does not build the project itself, KEIL5 is available.)
  3. 所属分类:单片机开发

    • 发布日期:2018-01-10
    • 文件大小:6183936
    • 提供者:老李123456
  1. badminton_ble

    1下载:
  2. 实现了nrf52840芯片的蓝牙串口功能,包括蓝牙广播、连接以及数据互传。(Realized nrf52840's Bluetooth serial port function.)
  3. 所属分类:微处理器开发

    • 发布日期:2020-06-08
    • 文件大小:7610368
    • 提供者:stronge
  1. ExampleCode_ADC_AD7173

    0下载:
  2. ADI公司的24位ADC ad7173的控制程序,使用ADuCM360控制(ADI's 24 bit ADC ad7173 control program, using aducm360 control)
  3. 所属分类:单片机开发

    • 发布日期:2020-10-26
    • 文件大小:102400
    • 提供者:xwlcom
« 12 3 4 5 6 7 8 9 10 »
搜珍网 www.dssz.com