CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - 5 CIC

搜索资源列表

  1. CIC_DEC

    0下载:
  2. CIC抽取滤波器设计,CIC滤波器采用5阶8倍抽取。-CIC decimation filter design, CIC filter order of 8 times 5 samples.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:1098
    • 提供者:42200306
  1. c19_CICfilter

    0下载:
  2. 精通verilog HDL语言编程源码之5--CIC积分梳状滤波器设计-Proficient in verilog HDL source language programming of 5- CIC Integrator Comb Filter Design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:1184
    • 提供者:李平
  1. CIC6_fir_comp_mlab

    1下载:
  2. CIC补偿滤波器设计,CIC滤波器采用5阶6倍抽取设计。-CIC compensation filter design, CIC filter 5 samples 6 times the design stage.
  3. 所属分类:DSP program

    • 发布日期:2017-04-03
    • 文件大小:2067
    • 提供者:42200306
  1. CIC8_fir_comp_mlab

    0下载:
  2. CIC抽取补偿滤波器设计,CIC滤波器采用5阶8倍抽取。-CIC compensation filter design samples, CIC filter order of 8 times 5 samples.
  3. 所属分类:DSP program

    • 发布日期:2017-03-31
    • 文件大小:2077
    • 提供者:42200306
  1. CIC4_fir_comp_mlab

    1下载:
  2. CIC抽取补偿滤波器设计,CIC滤波器采用5阶4倍抽取。-CIC compensation filter design samples, CIC filter order 4 times using 5 samples.
  3. 所属分类:DSP program

    • 发布日期:2017-04-02
    • 文件大小:2076
    • 提供者:42200306
  1. CIC_DEC_3

    0下载:
  2. CIC抽取滤波器设计,CIC滤波器采用5阶3倍抽取。-CIC decimation filter design, CIC filter order 3 times 5 samples.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:1129
    • 提供者:42200306
  1. CIC_DEC_4

    0下载:
  2. CIC抽取滤波器设计,CIC滤波器采用5阶4倍抽取。-CIC decimation filter design, CIC filter order 4 times using 5 samples.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:1103
    • 提供者:42200306
  1. CIC_DEC_6

    0下载:
  2. CIC抽取滤波器设计,CIC滤波器采用5阶6倍抽取。-CIC decimation filter design, CIC filter stage 6 times 5 samples.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:1129
    • 提供者:42200306
  1. cic

    0下载:
  2. 在MATLAB2007A/SIMULINK环境下用DSP BUILDER8.0实现了五级CIC,解决了溢出问题。生成了可用的VHDL文件。- DSP BUILDER8.0 A 5 stages CIC filer is realized in MATLAB2007A/SIMULINK by using DSP Builder 8.0.The overflow problem is resulved.Useful VHDL files are generated at last.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1542858
    • 提供者:hcq
  1. cic5

    0下载:
  2. 5级级联CIC滤波器的VHDL程序。CIC是最简单最易实现的低通滤波器,通常CIC滤波器如果采用单级,带外衰减不够,因此需要级联使用,5级级联的CIC带外衰减能够满足大多数的设计要求。而带内的衰减可以采用补偿滤波器抵消掉绝大部分。-the code of 5-CIC
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:956
    • 提供者:陈建敏
  1. cic_filter

    0下载:
  2. 5阶cic滤波器 使用vdhl编写 下载后将tb代码烤出 新建,然后综合仿真!-5 cic filter using vdhl written order to download the code will tb baked New, and then integrated simulation!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2127
    • 提供者:
  1. FPGA_CIC

    0下载:
  2. 用Count计数法实现5级CIC滤波器,能够提前或者延迟一个周期采样。能综合-Implementation level 5 CIC filter with Count counting method, one can advance or delay the sampling period.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:767
    • 提供者:lihe
搜珍网 www.dssz.com