CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - BUSY

搜索资源列表

  1. lcd12232

    0下载:
  2. 液晶显示屏LCD12232显示原理程序,根据需要检测忙-LCD display principle LCD12232 procedures required testing busy
  3. 所属分类:SCM

    • 发布日期:2017-04-10
    • 文件大小:1311
    • 提供者:leso
  1. SS

    0下载:
  2. 基于c51的开发,由上位机发送1给单片机时,蜂鸣器以400ms频率发声,发2时以200ms频率发声,发3时以100ms频率发声,发4时关闲蜂鸣器-C51-based development, with a computer to send a single chip, the buzzer to 400ms frequency of sound, made 2 to 200ms frequency sound, send sound frequencies from 3 to 100ms, of
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:21489
    • 提供者:王小虎
  1. zifu

    0下载:
  2. LCD 1602的响应速度相对于单片机的速度来说是偏慢的。 举个简单的例子,把一桶油通过漏斗向一个瓶子里倒,倒油的速度,即流量必须维持在一定范围之内,倒得太快油会从漏斗顶部溢出来,这样就浪费掉了。我们通过眼睛可以判断并使油面保持在顶面以下,以漏斗的额定流量来倒油,这样效率最高。 而对于单片机来说,1602好比那个瓶子漏斗,写入1602中要显示的数据好比油,如果以单片机的高运行速度向1602写数据就很可能造成上面所说的溢出,比如连续写入abc,结果只显示出了a,这是因为1602的显示芯
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:925
    • 提供者:xiaocheng
  1. LCD-display-character

    0下载:
  2. 用LCD显示字符 A ,含判断液晶模块的忙碌状态,将模式设置指令或显示地址写入液晶模块-LCD display with the character ' A' , determine the LCD module with a busy state, set the mode to display the address written instructions or LCD module
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:1610
    • 提供者:李伟
  1. max66752

    0下载:
  2. 最近几天出差忙锅炉铝液温度测试,采用max6675接K式热电偶,不过通过三线接口读出来的数据始终不对,希望大家帮看看问题所在,750度的温度测量出来只有280度左右,但是做了三块板子,测量结果都是一样的.程序如下:-Busy traveling the last few days testing the boiler temperature of molten aluminum, then K-type thermocouple used max6675, but the three-wire
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:2450
    • 提供者:陈明财
  1. 1602jtxs

    0下载:
  2. 1602液晶显示器的头文件,主要功能是进行lcd的初始化,及写指令、写数据、检测忙碌状态、读数据、输出字符和字符串子函数程序。主函数中写出显示的光标地址和要显示的字符串就可进行仿真。用于初学lcd的朋友,可进行简单的显示字符串。-1602 LCD header file, the main function is to carry out lcd initialization, and write commands, write data, detect busy state, read dat
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:1476
    • 提供者:征程
  1. 12864

    0下载:
  2. 12864串行和并行程序,采用忙检测而不是延时,因此便于移植。-12864 serial and parallel program, using busy detection not delay, so portable.
  3. 所属分类:SCM

    • 发布日期:2017-05-08
    • 文件大小:1759696
    • 提供者:彭江琴
  1. lcd1602

    0下载:
  2. 单片机与液晶1602的驱动程序,包括写指令和数据,和验证忙信号-MCU and LCD 1602 driver, including written instructions and data, and validate the busy signal
  3. 所属分类:Other Embeded program

    • 发布日期:2017-03-31
    • 文件大小:681
    • 提供者:zhanghaichao
  1. FPGAxinlingyinfangzhen

    0下载:
  2. 基于FPGA的信令音产生程序,包括拨号音,忙音,振铃音等-FPGA-based signaling tone generation process, including dial tone, busy tone, ring tones, etc.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2130530
    • 提供者:于风
  1. Interactive-state-machine

    0下载:
  2. 交互状态机建模,交互状态机能够使用通过公共寄存器通信的独立的a l w a y s语句进行描述。 示的两个交互进程的状态图, T X是一个发送器, M P是一个微处理器。如果进程T X不忙,进 程M P将要发送的数据放置在数据总线上,然后向进程T X发送信号L o a d T X,通知其装载数据 并开始发送数据。进程T X在数据传送期间设置T X B u s y表明其处于忙状态,不能从进程M P接 收任何进一步的数据。-Interactive state machine mode
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:3877
    • 提供者:小模子
  1. 1602LCD

    0下载:
  2. 超声波测距1602LCD显示,C编写的51单片机控制电路,主要是一个超声波模块显示程序,包含了几个模块函数:延时函数、判断液晶模块的忙碌状态函数、将模式设置指令或显示地址写入液晶模块函数、指定字符显示的实际地址、将数据(字符的标准ASCII码)写入液晶模块函数、对LCD的显示模式进行初始化设置函数、数据显示及转换程序函数等。-Ultrasonic Ranging 1602LCD show, C 51 single-chip write control circuit, an ultrasonic
  3. 所属分类:SCM

    • 发布日期:2017-04-26
    • 文件大小:25845
    • 提供者:snake
  1. phone_control

    0下载:
  2. 一个电话用户信令控制器设计,电话接续过程中控制忙音,回铃音和振铃输出。-A telephone users signal controller design.Phone in the process control in busy tone, back to LingYin and ringing output.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:117739
    • 提供者:郑志翔
  1. 18B20PLCD

    0下载:
  2. 温度液晶显示演示程序 LCD数据线:P0口 LCD控制线:RS P20 RW P21 E P22 BUSY P07 18B20端口DQ :P27 -Temperature of liquid crystal display demo Data line: P0 LCD LCD RS P20 RW P21 control line: E P22 BUSY P07 18B20 DQ : P27 port
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:1910
    • 提供者:李金瀚
  1. jiaotongguanli

    0下载:
  2. 汽车交通灯,,在繁忙的十字路口,,十分必要。。这个很完整-Automobile traffic lights, in a busy intersection, is necessary. . This is very complete,,
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:429015
    • 提供者:龙达
  1. Serial-port

    0下载:
  2. this a serial port (COM) transmitter module and it is fully synthesizble on fpga it has load, clk, rest and data inputs and serial a,d busy outpus -this is a serial port (COM) transmitter module and it is fully synthesizble on fpga it has load, c
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:271210
    • 提供者:hamid moallemi
  1. lcd_subs

    0下载:
  2. hd 4478 lcd driver for avr asm with busy flag evaluation for max speed
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:3226
    • 提供者:cyn
  1. lesson-1

    0下载:
  2. 利用URXWIE在set时串口仅响应地址信息。还有 RXWAKEset时所收到的是地址。实现的是利用闲路识别并 接收上位机发送的8B数据帧,存放于RX_BUF[8]数组内。 - Using URXWIE only respond to the address information in the set when the serial port. Also RXWAKEset received address. To achieve recognition is to use
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-04
    • 文件大小:23431
    • 提供者:wananwen
  1. DS18B20led

    0下载:
  2. DS18B20液晶显示 602液晶关键在于分清1、初始化参数2、写指令3、写数据4、合适的时序及延时 为方便不进行忙检测,直接延时多增加一点-DS18B20 liquid crystal display 602 LCD key is to distinguish between an initialization parameter, write instruction 3, write data, the appropriate timing and delay For the co
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-02
    • 文件大小:1617
    • 提供者:火龙
  1. Poe---le_scarabee_dor

    0下载:
  2. DS18B20液晶显示 602液晶关键在于分清1、初始化参数2、写指令3、写数据4、合适的时序及延时 为方便不进行忙检测,直接延时多增加一点-DS18B20 liquid crystal display 602 LCD key is to distinguish between an initialization parameter, write instruction 3, write data, the appropriate timing and delay For the co
  3. 所属分类:SCM

    • 发布日期:2017-03-30
    • 文件大小:194000
    • 提供者:yang
  1. djk2

    0下载:
  2. 一个模仿了CPU运算器的VHDL小程序,纠结了好几天,终于搞明白了希望能榜上点忙-A parody of the VHDL program in the CPU power, tangled for several days, finally figured it out hope standings point busy
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:311941
    • 提供者:dujingkai
« 1 2 34 5 »
搜珍网 www.dssz.com