CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - CCITT CRC-16

搜索资源列表

  1. crc16

    0下载:
  2. A CCITT-16 CRC calculator. The source contains both the calculated (smaller but slower) version, and the table driven (faster but larger) version.
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:3732
    • 提供者:buaalzx
  1. crc

    0下载:
  2. 生成多项式的最高位必须是1。例如:CRC-CCITT标准的16位生成多项式:g(x)= x16+x12+x1+1;阶数r = 16 即:0x11021.最高位通常为1。
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2008-10-13
    • 文件大小:3630
    • 提供者:xuhailun
  1. crc16

    1下载:
  2. 16位的CRC校验函数包。符合ccitt标准,查表法校验,速度快。节省CPU时间。值得一看!
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2008-10-13
    • 文件大小:1483
    • 提供者:cumt
  1. 1crc

    0下载:
  2. 计算CRC16/CRC8/CRC32的程序,可获得CRC-CCITT/CRC-16/CRC-8/CRC-32的计算结果。 提供Delphi源代码和MCS51单片机的汇编源代码,分别采用两至三种不同算法实现。有比较详细的调用和使用说明。 更新历史: 2003/05/04 新增CRC-8算法的实现。 2004/02/02 修改CRC-8算法,新增CRC-32算法,增加比较详细的调用和使用说明。-CRC16/CRC8/CRC32 calculation procedures available CRC
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:134336
    • 提供者:邓光
  1. crc

    0下载:
  2. CRC-16 VHDL Source Code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-12-06
    • 文件大小:164567
    • 提供者:kobin
  1. checkCRC

    0下载:
  2. CRC效验程序,使用CRC-16和CRC-CCITT方法。 其中CRC-16的效验字是: X^16 + X^15 + X^2 + 1,CRC-12的效验字是: X^12 + X^11 + X^3 + X^2 + X^1 +1 CRC-CCITT的效验字是: X^16 + X^12 + X^5 + 1 -CRC-tested program, using the CRC-16 and CRC-CCITT method. Including CRC-16' s efficacy wor
  3. 所属分类:SCM

    • 发布日期:2017-03-28
    • 文件大小:1107
    • 提供者:spencer
  1. CRC16_2

    0下载:
  2. IAR Asm for MSP430. Calculate the CCITT/ITU/CRC-16 parameters for this CRC are: Polynomial: x^16 + x^12 + x^5 + 1 (0x1021) Start value 0xFFFF Data receives from USART Checksum in R11.-IAR Asm for MSP430. Calculate the CCITT/ITU/CRC-
  3. 所属分类:Other Embeded program

    • 发布日期:2017-03-26
    • 文件大小:1687
    • 提供者:vlad
  1. MYCRC

    0下载:
  2. 由于altera公司的CRC生成和校验模块不支持本系统使用的Cyclone IV E系列FPGA,因此本文独立设计了CRC模块。该模块的接口与altera公司的CRC模块接口基本一致,能够对16位输入的数据流进行CRC校验码生成和校验。本文采用CRC-CCITT生成项,其表达式为:X16+X12+X5+X0。本模块需要startp信号及endp信号指示数据传输的起始及结束。本模块采用状态机设计,对于数据头和数据尾分别由不同的状态来处理。在本模块中,使用了for循环,这会消耗较多的FPGA资源,但
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:4311
    • 提供者:陈建
  1. crc-ccitt

    0下载:
  2. CRC校验ccitt的串行功能实现,实现16位CRC校验,校验方式是CCI-function of realize crc ccitt 16BIT
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:697
    • 提供者:xiang
  1. xmodemCRC16.c

    0下载:
  2. 本人根据XMODEM协议的16位CRC校验方式CRC--CCITT(X^16 + X^12 + X^5 + 1),根据其理论思路一步一步编写的源代码,按照程序思路,会比较容易理解CRC16较验方式的来龙去脉。 -I according to the XMODEM protocol 16 of the CRC method CRC- CCITT (X ^ 16+ X ^ 12+ X ^ 5+ 1), according to their theoretical ideas step by st
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:702
    • 提供者:海天
  1. CRC16_check

    0下载:
  2. 关于CRC-16-CCITT x16 + x12 + x5 + 1计算-about CRC-16_CCITT
  3. 所属分类:Other Embeded program

    • 发布日期:2017-03-31
    • 文件大小:7507
    • 提供者:hanks
  1. crc16

    0下载:
  2. Here s a CCITT-16 CRC calculator. The source contains both the calculated (smaller but slower) version, and the table driven (faster but larger) version.-Here' s a CCITT-16 CRC calculator. The source contains both the calculated (smaller but
  3. 所属分类:Other Embeded program

    • 发布日期:2017-11-20
    • 文件大小:3341
    • 提供者:骑士
  1. FCS_16

    0下载:
  2. Frame Check Sequence 16 bit Generator (CRC-CCITT and CRC-16)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:2506
    • 提供者:hadimk
搜珍网 www.dssz.com