CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - DE2 FPGA

搜索资源列表

  1. counter

    0下载:
  2. 用4个T触发器组成16位的计数器,FPGA实验ALTER DE2开发板自带光盘的案例程序解析-Four T flip-flop 16 of the counter, the case of FPGA experiment ALTER DE2 development board comes with CD-ROM program parse
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:13945
    • 提供者:冷静
  1. clocker-and-timer

    0下载:
  2. 时钟与计时器,FPGA实验alter DE2开发板自带光盘的案例教程编程解析-Clock and timer, FPGA experimental alter the DE2 development board comes with the CD case tutorial programming resolution
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:11431
    • 提供者:冷静
  1. latches-

    0下载:
  2. 锁存器,FPGA实验alter DE2开发板自带光盘的案例教程编程解析-Latch, FPGA experimental alter the DE2 development board comes with the CD case tutorial programming resolution
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:9437
    • 提供者:冷静
  1. Finite-State-Machines

    0下载:
  2. 状态机,FPGA实验alter DE2开发板自带光盘的案例教程编程解析-State machine FPGA experiments alter the DE2 development board comes with a CD case tutorial programming resolution
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:12210
    • 提供者:冷静
  1. Digital-tube

    0下载:
  2. 数码管显示,FPGA实验alter DE2开发板自带光盘的案例教程编程解析-Digital display, FPGA experimental alter the DE2 development board comes with a CD case tutorial programming resolution
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:5584
    • 提供者:冷静
  1. lab8

    0下载:
  2. 有关fpga存储器的设计,开发板为DE2-70,内附word文档说明-Fpga memory designs, development boards for the DE2-70, containing a word document descr iptions
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:1048554
    • 提供者:孙博文
  1. DE2_115_IR

    0下载:
  2. 用FPGA DE2-115开发板实现红外IR的接受器,将接受结果用数码管显示-Infrared IR receiver FPGA DE2-115 development board will accept the results with digital display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:109532
    • 提供者:tony
  1. fsk_completed

    0下载:
  2. FPGA为设计载体,VHDL 为设计输入,完成2FSK调制器的实现,下载到DE2平台通过D/A转换模块于示波器上实现-2FSK based on Fpga
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:568779
    • 提供者:jiran
  1. DE2platform-introduction

    0下载:
  2. 基于FPGA的de2平台介绍,包括DE2开发板各部分的功能和简单应用。-Introduced, including the de2 platform based on FPGA the DE2 board functions and simple application.
  3. 所属分类:Other Embeded program

    • 发布日期:2017-11-12
    • 文件大小:2715612
    • 提供者:zhang li
  1. Function_clock_generate

    0下载:
  2. 基于FPGA实现的实时闹钟,在DE2—115开发板上通过验证,实现报时,定时,时间调整等功能-Based on verified DE2-115 development board FPGA to achieve real-time alarm, timekeeping, timing, time adjustment
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-18
    • 文件大小:2278
    • 提供者:小梦
  1. niosII_cycloneIII_3c120_fast

    0下载:
  2. nois2 开发实例。应用平台是DE2开发板。实现一个简单的电子时钟的显示万年历。设计简单,便捷-Frequency meter, the use of Fpga language design and implementation of frequency measurement, can modify their own, platform type ED2 development board "
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-20
    • 文件大小:202766
    • 提供者:lufei
  1. nios_led

    0下载:
  2. 一个基础的FPGA的实验,包括sopc搭建硬件平台到用NIOS II软件编写控制程序。本实验是基于DE2开发板做的,可直接下载入片内观察到流水灯的现象。-A FPGA-based experiments, including sopc build the hardware platform to write NIOS II software control program. The experiment is based DE2 development board, observed the p
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-14
    • 文件大小:7170716
    • 提供者:张莉
  1. BYSJM_VHDL

    0下载:
  2. 基于fpga实现的出租车计价程序,实现功能:通过DE2板上的数码管、LCD显示当前的里程数,实现低速计价等功能-Based fpga the Taximeter program to achieve, achieve function: the DE2 board digital tube, LCD displays the current mileage, low speed denominated functions
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-24
    • 文件大小:2079415
    • 提供者:wang
  1. FilterMid

    0下载:
  2. FPGA实现的图像中植滤波算法,硬件平台DE2开发板-Vegetation filtering algorithms, hardware platforms DE2 development board FPGA implementation of image
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-13
    • 文件大小:3975631
    • 提供者:wang
  1. uart_lcd

    0下载:
  2. 基于FPGA的UART通信,并用LCD(1602)显示通讯状态和通讯的数据。通过在ALTERA公司生产的DE2-115开发板上运行,证明此程序稳定可靠。时钟为50MHz,语言为VHDL,状态机。-FPGA-based UART communication, and LCD (1602) show the communication status and data communications. DE2-115 development board by ALTERA Company product
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-12
    • 文件大小:6436299
    • 提供者:jiazhaorong
  1. arm_VGA4

    0下载:
  2. 基于FPGA的CMOS摄像头转VGA输出程序源码,基于DE2板。-CMOS cameras turn VGA output based on the FPGA program source code, based on the DE2 board.
  3. 所属分类:Other Embeded program

    • 发布日期:2017-11-16
    • 文件大小:856802
    • 提供者:ZhangZhenyan
  1. DE2_SD_Card_Audio

    0下载:
  2. DE2 SD player that uses VHDL and NIOS II to program the DE2 ALtera FPGA board
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-30
    • 文件大小:2284009
    • 提供者:DVZ
  1. PAL_TV_VGA

    0下载:
  2. 基于fpga de2平台pal制式tv实现-Pal standard platform based on fpga de2 tv realization
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-15
    • 文件大小:16337
    • 提供者:jy
  1. Pinball

    0下载:
  2. 这是一个基于FPGA的小球游戏,碰四壁可以反弹,通过VGA在显示屏输出。已在DE2上下板实现。-This is an FPGA-based ball game, hitting the walls can bounce through the VGA output on the display. Has been up and down the DE2 board to achieve.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-17
    • 文件大小:1272977
    • 提供者:Dee
  1. EP3C_FFT

    0下载:
  2. 在EP3C16 fpga 开发板上上实现了对输入声音进行FFT计算,并实时的显示在VGA显示器上。-The DE2 board to achieve a sound input FFT calculation, and real-time display on the VGA monitor.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-19
    • 文件大小:5228169
    • 提供者:恣意
« 1 2 3 4 5 6 78 9 »
搜珍网 www.dssz.com