CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - F1

搜索资源列表

  1. s3c44b0jianpan

    0下载:
  2. 此工程项目用于测试键盘 下载并运行该工程项目后,程序处于等待键盘输入状态 用户若按下F1,则程序退出。 按下除了F1之外的任意键的同时,运行灯将点亮,当键盘弹起后,灯又灭掉。 如果键入的是数字0~9,那么在运行灯熄灭后,LED模块将显示该数字,显示的 位置随着输入次数改变而改变 按下的键值将被存储到数组keystore[]中, 用户可以设置断点观测数组中的键值,也可以等程序运行完毕,再观测-this project for testing keybo
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:205751
    • 提供者:简其平
  1. DS12887.rar

    1下载:
  2. 功能:利用时钟芯片DS12887在LCD(LCM141)上显示时间,通过4×4键盘来修改时间,利用MAXIM232进行标准串口发送。4×4键盘中有数字键(0~9)和功能键(F1键为“→”,F2键为“←”,F4键为“发送”,设置键为“设置当前时间”,确定键为“把修改的当前时间写入到DS12887相应的存储单元中”)。 硬件:单片机为8K的98C52(ATMEL),LCD为北京青云的LCM141,标准串口总线为MAXIM的232芯片,时钟芯片为DS12887(DALLAS) ,Function:
  3. 所属分类:SCM

    • 发布日期:2017-03-23
    • 文件大小:4353
    • 提供者:limaorui
  1. sdh

    0下载:
  2. sdh帧处理过程,同步状态机设计,时钟分频设计,F1数据输出-sdh synchronization
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:942
    • 提供者:cc
  1. sdh1

    0下载:
  2. 本段代码是关于SDH帧的操作的一段VHDL的代码。 主要需求为两部分: 1. 从连续传输的SDH字节流中找出帧头。 2. 从SDH字节流中,提取F1字节,并按照要求输出。-This section of code is on the operation of a SDH frame VHDL code. Two main needs: 1. From the continuous transmission of SDH byte stream to find the frame he
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:684
    • 提供者:mao
  1. SDHAnalysis

    2下载:
  2. 光纤通信中的SDH数据帧解析及提取的VHDL实现源代码,共包含帧同步、E1及F1码流提取、DCC1码流提取、帧头开销串行输出四个主要模块-SDH fiber-optic communication data frame analysis and retrieval implementation of VHDL source code, include the frame synchronization, E1 and F1 stream extraction, DCC1 stream extra
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:31485
    • 提供者:张晓彬
  1. CF

    0下载:
  2. 全套CF卡资料,非常有用.包含IBM,KINGSTON,Sandisk等厂家的CF卡资料全套-A full set of CF card information, very useful. Includes IBM, KINGSTON, Sandisk and other manufacturers a full range of CF card information
  3. 所属分类:SCM

    • 发布日期:2017-05-26
    • 文件大小:9389550
    • 提供者:邵帅
  1. Dev_io

    0下载:
  2. 基于CYPRESS CY7C68013 usb2.0的开发程序,该程序演示了访问IO的编写方法。-This directory contains the dev_io 8051 firmware The purpose of this software is to demonstrate how to use the buttons and LED on the EZ-USB developer s kit. The device I/O example progr
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:17880
    • 提供者:Willson Yang
  1. hid_kb

    0下载:
  2. hid_kb firmware this firmware uses FX2LP or FX1 to emulate a USB HID keyboard. On the DVK board, buttons F1-F4 map to shift and a,b,c. The 7-segment display shows the status of caps-lock, scroll-lock, and num-lock. -hid_kb firmware this f
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:39160
    • 提供者:Willson Yang
  1. boot

    0下载:
  2. 一个个人学习汇编时写的一个小型启动代码,能实现修改时间,查看时间,启动硬盘上的系统,f1修改屏幕颜色重启pc,共771字节 纯汇编.-A compilation of individual learning when to start writing a small code modifications to achieve the time to view the time, start the system on your hard disk, f1 modify the color s
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-03
    • 文件大小:2667
    • 提供者:刘志平
  1. yuyin_prog

    0下载:
  2. 利用51单片机进行语音存储与回放系统的源程序,包涵PCM,DPCM,ACM三种录音方式 按键功能:F1--PCM录音 F2--DPCM录音 F3--ADM录音 F4 --停止 5 -- 放音 0 -- 暂停 4 -- 慢放 6 -- 快放-The use of 51 single-chip voice storage and playback system source code, includes PCM, DPCM, ACM tape recording of the three key
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:5025
    • 提供者:刘一会
  1. F1

    0下载:
  2. 主要用于豪华大巴车的卫生间控制器,控制冲厕阀、洗手阀,红外感应有人和无人等,是个人为汽车电子公司开发的一款产品-The bus is mainly used for luxury bathroom controller, control of flushing valves, hand valves, infrared sensors, manned and unmanned, are individuals for automotive electronics company has deve
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:24700
    • 提供者:lrt
  1. vhd_SDH

    0下载:
  2. 实现从连续传输的SDH字节流中找出帧头、提取F1字节,并按照64K速率分别串行输出F1码流及时钟,其中64K时钟要求基本均匀。文件包含报告文档-SDH transmission from a continuous stream of bytes to identify header, extract F1 bytes, respectively, in accordance with 64K-rate serial output bit stream and clock F1, of which
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:70190
    • 提供者:ljk05
  1. finaldesign

    0下载:
  2. 基于单片机的红外测脉搏的程序,其中f1为 测试ad芯片功能的好坏,用c语言编写,最终可以转化为hex文件在机器上实现-Infrared measurements based on single chip pulse procedures, which functions f1 to test ad-chip good or bad, using c language, can eventually be converted into hex file on the machine to achi
  3. 所属分类:SCM

    • 发布日期:2017-04-02
    • 文件大小:2815
    • 提供者:wang
  1. 22

    0下载:
  2. 键盘控制页面显示。屏蔽一些键盘事件。F1.F2.F3控制不同显示模式。-jianpan
  3. 所属分类:SCM

    • 发布日期:2017-04-07
    • 文件大小:2437
    • 提供者:gin
  1. MSP430registerguide

    0下载:
  2. MSP430registerguide msp430 f1系列详述-MSP430registerguide msp430 f1 series of detailed
  3. 所属分类:SCM

    • 发布日期:2017-05-02
    • 文件大小:732759
    • 提供者:jack
  1. doshelp

    0下载:
  2. 有关使用MS-DOS帮助的更多信息, 请从Help菜单中选择 How to Use MS-DOS Help? ,或按F1。若要退出MS-DOS帮助,可按ALT,F,X。-Help on using MS-DOS more information, please select from the Help menu, ' How to Use MS-DOS Help?' , Or press F1. To exit the MS-DOS help, according to ALT, F
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:624118
    • 提供者:夏琎
  1. msp430f149jiaocheng

    0下载:
  2. 单片机m430(f149为例)学习教程,带有学习总结-SCM m430 (f149 example) learning tutorial with learning summary ..
  3. 所属分类:SCM

    • 发布日期:2017-04-09
    • 文件大小:1258777
    • 提供者:李淑贞
  1. 4-10-VHDL-f1

    0下载:
  2. 四位10进制VHDL频率计设计说明 四位频率计的结构包括一个测频率控制信号发生器、四个十进制计数器和一个十六位锁存器(本例中所测频率超过测频范围时有警示灯)。-Four 10-digit frequency counter VHDL design descr iption of the structure of the four frequency meter includes a measuring frequency control signal generator, four deci
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:53919
    • 提供者:韦昊斯
  1. F1-Release-1

    0下载:
  2. F1 Evaluation Platform The F1 Evaluation Platform is a simple development tool for Enhanced Mid-range PIC microcontrollers (PIC12F1xxx/PIC16F1xxx) and demonstrates the capabilities & low power enhancements of these new PIC microcontrollers. This prov
  3. 所属分类:SCM

    • 发布日期:2017-04-09
    • 文件大小:1443050
    • 提供者:poi
  1. main

    0下载:
  2. 用于IO接口的功能转换,适用于430的F1系列非常实用-IO interface functions for conversion, for 430 of the F1 series is very useful
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-08
    • 文件大小:627
    • 提供者:王丹
« 12 3 4 »
搜珍网 www.dssz.com