CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - Huffman编码

搜索资源列表

  1. Huffman.c2

    0下载:
  2. 1.在发送端通过一个编码系统对待传数据预先编码,在接收端将传来的数据进行译码(复原)。对于双工信道(即可以双向传输信息的通道),每端都需要一个完整的编/译 码系统。 2.本程序的目的是大大提高通信的信道利用率,缩短信息传输时间,降低传输成本。 -1. In the transmitter through a coding system for pre-treatment data-coding the receiving end of data from the decodin
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:2385
    • 提供者:犯得上
  1. huffman

    0下载:
  2. 用于FPGA的huffman算法的HDL编码,包括VHDL及Verilog代码。可用于JPEG及MPEG压缩算法。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:10891
    • 提供者:caesar
  1. huffumancoding.rar

    0下载:
  2. 哈夫曼编码,有关编码与解码的哈夫曼实验程序,在xilinx机子上跑过,能用,Huffman coding, the encoding and decoding of Huffman experimental procedure, the machine ran Xilinx, can be
  3. 所属分类:VHDL编程

    • 发布日期:2017-04-08
    • 文件大小:349331
    • 提供者:杨梅
  1. Huffman

    0下载:
  2. 用于视频运动图像编码的HUFFMAN编码,可广泛运用于MPEG-Moving Picture for video coding Huffman coding, can be widely applied to MPEG
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1569235
    • 提供者:zs
  1. HUFF

    0下载:
  2. 这是霍夫曼编码的c语言实现 可以直接在编辑器上运行-This is the Huffman code of c language directly in the editor to run
  3. 所属分类:Windows CE

    • 发布日期:2017-04-14
    • 文件大小:3014
    • 提供者:bichaozhi
  1. dsp_huffman_Coding

    0下载:
  2. 从huffman编码机制出发,利用DSP实现具体细则-A DSP IMPLEMENTATION OF HUFFMAN CODING TECHNOLOGY
  3. 所属分类:DSP program

    • 发布日期:2017-04-23
    • 文件大小:36846
    • 提供者:董行健
  1. h

    0下载:
  2. huffman编码的vhdl语言实现 课程设计做的 有点用的-huffman coding vhdl language curriculum design to achieve a little bit to do with the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:292500
    • 提供者:africanz
  1. cf_cordic_latest.tar

    0下载:
  2. 一个基于哈弗曼编码的解码器,用于jpeg格式的图片的解码,以及音频流解码。-Huffman code is used in the most streaming applications. I have written a Huffman decoder for jpeg pictures. For audio or other data streams the code have to adapt.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:460980
    • 提供者:赵恒
  1. huffman

    0下载:
  2. 哈弗曼编码的设计源代码以及测试源代码以及仿真结果图-Havermann source code design and testing source code and Simulation results
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:102260
    • 提供者:cong
  1. Huffman

    0下载:
  2. 用VHDL编写的huffman编码的源程序-With the VHDL source code written in huffman coding
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:9853
    • 提供者:孙敬峰
  1. CL44

    0下载:
  2. 图像压缩编码的原理 哈夫曼树结构 DTC模型-image compression huffman models,DTC models
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:107099
    • 提供者:小强
  1. mkjpeg.tar

    1下载:
  2. 用FPGA实现的JPEG编码器,可以直接使用,内含完成说明文档,经过验证无误。-• JPEG baseline encoding JPEG ITU-T T.81 | ISO/IEC 10918-1 • Standard JFIF header v 1.01 automatic generation • Color images only (3 components, RGB 24 or 16 bit, YUV input) • T
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-05-04
    • 文件大小:21650432
    • 提供者:
  1. huffmanEncode

    0下载:
  2. 基于c语言的哈夫曼编码,对需要的同学,可以提供一定的参考和帮助。-huffman code of C
  3. 所属分类:Embeded Linux

    • 发布日期:2017-04-10
    • 文件大小:967
    • 提供者:zhuhuawei
  1. digital_IC_design

    0下载:
  2. 利用硬件描述语言实现哈夫曼编码,在modelsim下仿真编译-Huffman coding
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-25
    • 文件大小:97629
    • 提供者:赵婧
  1. huffman

    0下载:
  2. linux c语言完成的哈弗曼编码实现方法,仅供初学-Huffman coding
  3. 所属分类:Embeded Linux

    • 发布日期:2017-11-20
    • 文件大小:8468
    • 提供者:许金龙
  1. huffman

    1下载:
  2. 基于fpga的霍夫曼编码Huffman Encoder-Fpga-based Huffman coding Huffman Encoder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:19401
    • 提供者:nick
  1. Huffman-Encoder

    1下载:
  2. 本压缩包,包换一个用verilog语言实现的huffman编码源程序,同时给出了众多论文和基础知识的文档资料,一应俱全。-The compression package, shifting one using huffman coding verilog language source code, and gives basic knowledge of many papers and documentation, everything.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-29
    • 文件大小:11847457
    • 提供者:普尔
  1. huffman

    1下载:
  2. 用verilog硬件语言实现了动态huffman编码,能够压缩字符串文件,展示了硬件的压缩率-Using verilog hardware descr iption language to achieve a dynamic huffman coding to compress the string file, showing the hardware compression rate
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:2679
    • 提供者:张龙
  1. DCT_verilog

    0下载:
  2. DCT是数字图像处理中的一种基础算法,实现从时域到频域的转换,从而去掉时域中数据的相关性,有利于量化后对变换系数采用游程编码和Huffman编码。-DCT is a digital image processing a basic algorithm to achieve the conversion the time domain to the frequency domain, and thus remove the domain relevance of data in favor of
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:507958
    • 提供者:荣志强
  1. 哈夫曼编码器设计实验报告

    2下载:
  2. 要求对一段数据序列进行哈夫曼编码,使得平均码长最短,输出各元素编码和编码后的数据序列。 ①组成序列的元素是[0-9]这10个数字,每个数字其对应的4位二进制数表示。比如5对应0101,9对应1001。 ②输入数据序列的长度为256。 ③先输出每个元素的编码,然后输出数据序列对应的哈夫曼编码序列。(Design a 1MHz FIR low pass filter. Huffman coding is required for a section of data sequence to m
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2019-12-04
    • 文件大小:182272
    • 提供者:羊羊驼
« 12 »
搜珍网 www.dssz.com