CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - LED识别

搜索资源列表

  1. moveophone

    0下载:
  2. 移动式的游戏控制器基于vhdl. 简单结构 目前只能识别led-Due to the recent trend in creating devices that allow the playing of games using movement rather than a traditional joystick, controller, or keyboard, we felt that a project that followed this idea would be interestin
  3. 所属分类:VHDL编程

    • 发布日期:2014-03-16
    • 文件大小:7315225
    • 提供者:张洋洋
  1. User-identification

    0下载:
  2. 用户摘挂机识别及扫描电路的软硬件设计。话机摘机,两个发光二极管亮,延时20ms,挂机,两个发光二极管灭。-User identification and scanning circuit hook hardware and software design. Phone off-hook, two LED lights, delay 20ms, hang up, two light-emitting diodes off.
  3. 所属分类:SCM

    • 发布日期:2017-11-16
    • 文件大小:62248
    • 提供者:
  1. examples-of-singlechip-1

    0下载:
  2. 1.流水灯2.数码管测试3.数码管4.单键识别数字单循环5.矩阵键盘检测6.矩阵键盘检测二-1 water lights 2 LED test 3. Nixie tube 4. Single bond identification number Robin 5. Matrix keyboard detection 6. Matrix keyboard detects two
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:95429
    • 提供者:suniverse
  1. 4_KEY_LED_Change_DCO

    0下载:
  2. MSP4300G2553单片机的CPU时钟来源于数控振荡器 DCO 。 CPU通过长延时控制P1.6的LED闪烁亮灭 。 单片机识别P1.3机械按键以后,改变DCO参数,依次设置为1M,8M,12M和16MHz,可观察到闪烁频率增加。-CPU clock source MSP4300G2553 microcontroller in the numerical control oscillator DCO. CPU by long delay control P1.6 LED flas
  3. 所属分类:SCM

    • 发布日期:2017-04-24
    • 文件大小:95554
    • 提供者:林志浩
  1. LEDbyVoice

    0下载:
  2. 为凌阳61单片机,用语音控制LED点亮或者熄灭,需先进行语音录入和识别训练-LED voice control for 61 MCU
  3. 所属分类:SCM

    • 发布日期:2017-04-24
    • 文件大小:330663
    • 提供者:neocry
  1. example

    0下载:
  2. 基于mini2410的小实验,功能包含了led流水灯、数码管、pwm蜂鸣器、按键识别、ad转换、eeprom练习、lcd屏幕实验、贪吃蛇小游戏等功能。-Based on mini2410 microprocessor, the function including led test, digital number, pwm buzzer, button recognization, ad convertion, eeprom test, lcd test, snake game, etc.
  3. 所属分类:Embeded Linux

    • 发布日期:2017-04-29
    • 文件大小:136802
    • 提供者:
  1. src

    0下载:
  2. 基于VHDL的4*4矩阵按键识别,按键与LED相对应,每按一个按键,对应LED亮一次。-Corresponding VHDL-based 4* 4 matrix identification keys, buttons and LED, each press of a button, the corresponding LED lights up again.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:4691
    • 提供者:黄星
« 1 2 3»
搜珍网 www.dssz.com