CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - MANCHESTER

搜索资源列表

  1. Manchester-AVR

    0下载:
  2. Manchester码解码算法的AVR单片机实现
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:332054
    • 提供者:林永平
  1. Manchester.rar

    1下载:
  2. 曼彻斯特编解码源代码,还包含曼彻斯特码的说明文档,Manchester Encoder-Decoder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:40515
    • 提供者:cst008
  1. Manchester

    2下载:
  2. 使用C语言编写的曼彻斯特编码和解码功能函数-Written in C language with Manchester encoding and decoding functions
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2013-09-05
    • 文件大小:1365
    • 提供者:firebire
  1. manchester-coding

    1下载:
  2. 使用51单片机进行曼彻斯特编解码,编码程序中有同步头,结束位设置,解码有查找同步头,有效跳变检测等程序,内有proteus仿真原理图-With 51 single-chip codec to Manchester, there are sync, the end bit is set, decode sync with search, detection procedures are not effective, there proteus simulation schematic
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2013-09-05
    • 文件大小:12332
    • 提供者:管俊波
  1. manchester_code

    0下载:
  2. 曼彻斯特编码函数.将输入的字节转换为曼彻斯特编码输出.-Manchester encoding function. Would be bytes of input into Manchester encoded output.
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:599
    • 提供者:phoenix
  1. manchester-decoder-encoder

    0下载:
  2. Manchester Encoder - Decoder-Manchester Encoder- Decoder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-17
    • 文件大小:9373
    • 提供者:Archie
  1. piccontrolu2270

    0下载:
  2. 使用PIC芯片控制U2270读取125KHz ID卡卡号,因为所使用的PIC资源很少,此程序极为精简,包含中断曼切斯特解码和校验全过程,非常实用。-The use of PIC chip control U2270 Read 125KHz ID card number, because the use of PIC scarce resources, this program is extremely streamlined, with interruption of Manchester de
  3. 所属分类:SCM

    • 发布日期:2017-03-28
    • 文件大小:1916
    • 提供者:梁晶
  1. BFL_Encode

    0下载:
  2. 将宽度为width位的并行输入数据按BiΦ-L码(曼彻斯特码)方式进行编码后串行输出,输出数据的宽度为(2*width),BiΦ-L码是PCM码的一种,常用的PCM编码方式有:NRZ-L,BiΦ-L和BiΦ-M三种-The width of the parallel-bit width input data by BiΦ-L code (Manchester code) way encoded serial output, the output data width (2* width), Bi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:1144
    • 提供者:贺明辉
  1. machester_VHDL

    0下载:
  2. manchester码在通信领域中用途广泛 这个VHDL程序包括曼彻斯特码的打包和解包。。很难得哦-manchester code in the communications area of a wide range of uses of this process includes the VHDL code packaged Manchester reconciliation package. . Oh, a rare
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:969
    • 提供者:王鹏
  1. md

    0下载:
  2. 基于VHDL语言实现的曼彻斯特解码。 -VHDL manchester decode
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:1312
    • 提供者:hyf
  1. manchester-code

    0下载:
  2. 曼彻斯特编码技术用电压的变化表示0和1。规定在每个码元中间发生跳变。高→ 低的跳变表示0,低→ 高的跳变表示为1。每个码元中间都要发生跳变,接收端可将此变化提取出来作为同步信号,使接收端的时钟与发送设备的时钟保持一致-Manchester coding techniques that use voltage changes in 0 and 1. Provisions in the middle of each symbol hopping happen. High → low hopping
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:90811
    • 提供者:魏伟
  1. manchester

    1下载:
  2. 1553B曼彻斯特编解码程序,用于总线通信-1553 decode and encode
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:2580
    • 提供者:mengzi
  1. COD_MANCHESTER

    0下载:
  2. Manchester Coding vhdl code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:10717
    • 提供者:Avatar
  1. decode

    0下载:
  2. 曼彻斯特编码程序,用于射频卡T5557,希望对大家有所帮助-Manchester coding procedures, radio frequency card for T557
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-11
    • 文件大小:1267
    • 提供者:motou512711
  1. manchesterbyxilinx

    0下载:
  2. 曼彻斯特编解码的实现(Verilog),包含有测试文件。-manchester encode and decode with verilog,Test File is included。
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:9926
    • 提供者:cheuna
  1. manchester

    0下载:
  2. A simple Manchester Encoder to convert serial data to Manchester encoded data.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:3671
    • 提供者:thezeek
  1. manch

    0下载:
  2. 该文件是一个完整的工程文件,用VerilogHDL语言编写,包括曼彻斯特编码器的设计文件和仿真测试文件以及解码器的设计文件和仿真测试文件。在Modelsim中仿真测试通过。-The document is a complete project file, with VerilogHDL languages, including the Manchester encoder design documents and simulation test files and decoder design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:122597
    • 提供者:dayu1994
  1. manchester

    0下载:
  2. verolog语言编写,功能如标题所示。有问题请联系mxkmxm@126.com-verolog language, functions such as the title indicates. There are problems, please contact mxkmxm@126.com
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2383
    • 提供者:莫新康
  1. manchester

    1下载:
  2. verilog 实现manchester编解码,最高速率5mhz-verilog manchester code to achieve the highest rate of 5mhz
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:4090
    • 提供者:王红星
  1. manchester

    0下载:
  2. 源码包含三个模块,数据发送模块是读取FIFO中的数据后,将并行数据转换为串行,同时对串行数据进行曼彻斯特编码输出。数据接收模块是对接收的数据进行曼彻斯特解码。FIFO控制器模块将接收的串行数据转换为并行,并存储。 曼彻斯特解码部分本文采用了过采样技术,使用了一个8倍时钟进行采样。每一个数据周期采样8次,每四次采样确定一个状态,如果采样到三次及以上高电平则认为是高状态,否则认为是低状态。状态由高到底则是数据0,由低到高则是状态1。-Source consists of three module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:4086
    • 提供者:陈建
« 12 3 4 5 6 7 8 »
搜珍网 www.dssz.com