CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - PN sequence

搜索资源列表

  1. pn_generator.rar

    0下载:
  2. FPGA实现pn发生器,Verilog代码实现,另带modlesim的仿真测试文件,很有价值。,FPGA realization of pn generator, Verilog code, and the other with the simulation test modlesim documents of great value.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:2869
    • 提供者:胡佳
  1. pn

    0下载:
  2. 通过移位寄存器的方法产生m序列。已编译好!-Produced by the method m shift register sequence. Has been compiled!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:3127
    • 提供者:坚持
  1. pn

    0下载:
  2. 基于Xilinx的ISE9.0编译的周期为63的m序列-Compiled based on Xilinx' s ISE9.0 63 m sequence of period
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:383040
    • 提供者:qs
  1. CompletethedirectsequencespreadspectrumsystemPNpre

    1下载:
  2. 完成直接序列扩频系统的伪码精确同步,并用FPGA进行实现-Complete the direct sequence spread spectrum system PN precise synchronization, and implementation with FPGA for
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:31972
    • 提供者:jiajia
  1. xapp211

    0下载:
  2. ITS VHDL PROGRAM OF PN SEQUENCE
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:70448
    • 提供者:sridhar
  1. PN4

    0下载:
  2. 语言:VHDL 功能:该PN4序列的特点为将一个4位序列的前两位取异或,再让序列左移一位,用异或的结果作为序列的最后一位。序列周期是15,即15位伪随机序列。其中包括序列的产生模块和检测模块。对于误码检测,首先捕获相位。然后,规定测试的码的总个数,统计这些码中有多少个不能满足PN序列特点的,用计数器统计个数。如果发现误码过多,可能是相位失调,重新捕获相位,再进行误码检测。 仿真工具:modelsim 综合工具:quartus -Language: VHDL function:
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:4429
    • 提供者:huangjiaju
  1. PN-(2)

    0下载:
  2. 伪随机序列FPGA 通过仿真 M3-Pseudo-random sequence M3000 FPGA simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:217051
    • 提供者:wyq
  1. pnsequence.v

    0下载:
  2. pn sequence generator in verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-09
    • 文件大小:871
    • 提供者:pavanteja
搜珍网 www.dssz.com