CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - QPSK调制解调

搜索资源列表

  1. MAIN

    0下载:
  2. 一种QPSK调制解调算法的误码率仿真-a simulation of demodulation with Qpsk.
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:1685
    • 提供者:董小琳
  1. QPSK

    1下载:
  2. 用VHDL语言实现QPSK调制功能和解调功能,
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:299022
    • 提供者:fangyingjie
  1. QPSK调制解调程序代码

    0下载:
  2. 所属分类:VHDL编程

    • 发布日期:2011-03-28
    • 文件大小:22528
    • 提供者:cherishqiqi
  1. project1

    1下载:
  2. C语言实现qpsk调制解调在ti5000系列DSP芯片上仿真的程序-qpsk modem based dsp band with C
  3. 所属分类:DSP编程

    • 发布日期:2014-03-16
    • 文件大小:1248
    • 提供者:崔颖强
  1. qpsk

    1下载:
  2. qpsk调制解调的FPGA实现。QPSK为调制程序,QPSK-two为解调程序。-qpsk modulation and demodulation of the FPGA. QPSK as the modulation process, QPSK-two for the demodulation process.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:1533
    • 提供者:张维
  1. QPSK

    0下载:
  2. 信号调制解调源代码代码-Signal modulation demodulator source code code
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:36832
    • 提供者:仇伟
  1. qpskmodunation

    0下载:
  2. TI tms320c54x上实现BPSK/QPSK调制解调仿真的汇编语言源代码-TI tms320c54x completeBPSK/QPSK modelation simulated asm program
  3. 所属分类:DSP program

    • 发布日期:2017-04-04
    • 文件大小:1511
    • 提供者:崔颖强
  1. FPGAQPSK

    0下载:
  2. 文中介绍了QPSK调制解调的原理,并基于FPGA实现了QPSK调制解调电路。MAX+PLUSII环境下的仿真结果表明了该设计的正确性。 -In this paper, the principle of QPSK modulation and demodulation, and a FPGA-based QPSK modulation and demodulation circuit. MAX+ PLUSII environment simulation results show the corr
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-02-12
    • 文件大小:41259
    • 提供者:杨杨
  1. module_dem

    2下载:
  2. 用verilog编写的信号调制解调程序,包括ask,fsk,qpsk的fpga实现-Prepared using verilog signal modulation and demodulation process, including ask, fsk, qpsk of fpga implementation
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-06
    • 文件大小:6068389
    • 提供者:yu
  1. QPSK

    0下载:
  2. 基于FPGA的QPSK调制解调电路设计与实现 -QPSK
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:41664
    • 提供者:冯晓昊
  1. QPSK

    1下载:
  2. qpsk调制解调的VHDL源代码,已调试成功,可放心使用。-qpsk modulation and demodulation of the VHDL source code ,which has been debugged and can be freely used.
  3. 所属分类:VHDL编程

    • 发布日期:2013-03-14
    • 文件大小:1442
    • 提供者:simulin_2008
  1. c8

    0下载:
  2. QPSK 调制 与 解调的源代码 可综合 出波形-QPSK modulation and demodulation of the source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:2234
    • 提供者:回海生
  1. FPGA_QPSK

    0下载:
  2. 基于FPGA的QPSK调制解调器的设计,很不错!-FPGA-based QPSK modem design, very good!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1214547
    • 提供者:张大龙
  1. QPSK

    2下载:
  2. 基于DSP的QPSK调制解调系统源代码。经过验证,已经跑过的工程-DSP-based QPSK modulation and demodulation system source code. After verification, the project has been ran
  3. 所属分类:DSP编程

    • 发布日期:2013-11-07
    • 文件大小:3870
    • 提供者:庄伟
  1. qpsk

    0下载:
  2. QKSK 调制 解调 调试成功 -QKSK modem debugging success
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:883
    • 提供者:张先生
  1. QPSK

    1下载:
  2. 基于FPGA的QPSK实现调制解调,主程序,测试程序都有-FPGA-based implementation of QPSK modulation and demodulation, the main program, the test program has
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-10-02
    • 文件大小:1442816
    • 提供者:卢梦明
  1. MATLABPQPSK_final

    2下载:
  2. QPSK调制解调,载波同步的matlab源程序,测试通过无bug-QPSK modulation and demodulation, carrier synchronization matlab source code, test bug-
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-07-24
    • 文件大小:133120
    • 提供者:lewis
  1. QPSK

    5下载:
  2. 这是关于QPSK调制解调的VerilogHDL语言的代码,还有用Modelsim仿真的工程文件。testbench都已经写好了。-This is the QPSK modulation and demodulation of VerilogHDL language code, as well as with Modelsim simulation project file. testbench have been written.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-28
    • 文件大小:75269
    • 提供者:hbnbmiu
  1. QPSK

    0下载:
  2. 四相相移键控,QPSK调制与解调器的设计,通过仿真解调出正确的信息码-Quadrature phase shift keying, QPSK modulation and demodulation of the design, the simulation code to demodulate the correct information
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:957562
    • 提供者:chenwei
  1. QPSK调制解调器的设计及FPGA实现

    1下载:
  2. QPSK FPGA的实现,QPSK调制解调器的设计及FPGA实现(Design and implementation of QPSK modem based on FPGA)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-02
    • 文件大小:6838272
    • 提供者:muweng
« 12 »
搜珍网 www.dssz.com