CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - SPI CAN

搜索资源列表

  1. SD_Card

    0下载:
  2. sdhc卡spi扇区读verilog例程。包含sdhc卡初始化模块及一个扇区读模块,扇区读完数据放在一个fifo中缓存,为之后的工作做准备,可以集成到自己的项目中。已经在闪迪8Gsdhc卡上亲测成功-sdhc card sector read spi verilog routine. Initialization module and a read module contains sdhc card sector, the sector read data in a cache fifo in
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4246718
    • 提供者:王一鸣
  1. MCP2515

    1下载:
  2. CAN总线通讯,单片机通过模拟SPI与MCP2515通讯,从而与其他CAN节点通讯,经测试可以用。-CAN bus communication, microcontroller through the simulation of SPI and MCP2515 communications, and other CAN node communication, the test can be used.
  3. 所属分类:SCM

    • 发布日期:2015-12-04
    • 文件大小:71680
    • 提供者:李景亚
  1. Ex28_POP28335_DAC_ADC

    1下载:
  2. 实验目的:通过学习此程序可以了解DSP的SPI与DA芯片(TLV5620)的连接和驱动,这是DSP的一个重要特性,大家要达到掌握的程度实验说明:主要硬件由DSP和TLV5620组成,DSP提供了SIMO和SCLK、C3TRIP ** ** 信号给TLV5620,且C3TRIP信号是用来做片DAC信号的更新和锁 ** ** 存的,这里大家要参照我们所提供的TLV5620的手册,4个信道 ** ** 的DAC输出分别引了出来,方便大家来检测.这里还要说明的一点的是我们用了其中的两个信道D
  3. 所属分类:DSP program

    • 发布日期:2017-04-26
    • 文件大小:441580
    • 提供者:lvysam
  1. w25q64

    1下载:
  2. 针对atemga128的avr单片机spi接口对W25Q64的读写和擦出。可编译通过,但要是拿来君的话得适量修改代码。编译器avr studio 4-For atemga128 of avr microcontroller spi interface of literacy and struck W25Q64. You can compile, but if used the right amount of monarch then have to modify the code. The co
  3. 所属分类:SCM

    • 发布日期:2017-04-17
    • 文件大小:48548
    • 提供者:朱洪峰
  1. spi_ipcore

    0下载:
  2. 比较实用的SPI Verilog 编程,里面有仿真时序和源代码,简单改一改可直接,支持SPI双模式。-More practical SPI Verilog programming, which has simulation timing and source code, simple and can be directly altered, supports SPI dual mode.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-28
    • 文件大小:296186
    • 提供者:田勇
  1. SPI_Master

    0下载:
  2. 在FPGA中此源代码可作为SPI的主机传输代码,如有分开用的时候,此源代码会很方便,简单易懂-This source code in an FPGA can be used as SPI host transmission code, if separated by time, this source will be very convenient, easy to understand
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:3830966
    • 提供者:田勇
  1. STM32-Wireless--Communication

    0下载:
  2. STM32平台通过SPI接口对无线模块进行控制,实现无线收发通信,代码可以直接使用,没有bug-STM32 platform through the SPI interface to control the wireless module, realize wireless transceiver communication, code can be used directly, no bug
  3. 所属分类:SCM

    • 发布日期:2017-05-09
    • 文件大小:1809664
    • 提供者:kongxiangw
  1. nios_ruanhe_spi_3

    0下载:
  2. 这是我自己写的一个摄像头数据存储SD卡程序,quartus的verilog编写,摄像头采用自己添加的外设接口,数据采用dma采集,SD用的是软件自带的SPI内核以及znFAT的文件系统。帧率我没有测,有兴趣的可以测测,初学者可以参考学习,写的代码有点乱,如果有不懂的可以和联系。-This is what I wrote it myself a camera, SD card data storage program, quartus the verilog write, add their ow
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-19
    • 文件大小:29037568
    • 提供者:高政
  1. M25P32_MSP430

    0下载:
  2. 主要功能是实现MSP430149单片机控制大容量存储芯片W25X32,文件经过工程测试正常可以使用,基于模拟SPI总线协议实现的。- The main function is to achieve MSP430149 microcontroller control of large capacity memory chip W25X32, the file can be used to test the normal,
  3. 所属分类:SCM

    • 发布日期:2017-04-13
    • 文件大小:2025
    • 提供者:韩雷
  1. spiffs

    0下载:
  2. SPI Flash的文件系统,用于嵌入式系统。-Spiffs is a file system intended for SPI NOR flash devices on embedded targets. Spiffs is designed with following characteristics in mind: - Small (embedded) targets, sparse RAM without heap - Only big areas of data (
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-07
    • 文件大小:802212
    • 提供者:张磊
  1. AT45DB081(1)

    0下载:
  2. AT45DB081芯片的存储操作,在用于单片机的SPI总线开发中,可直接使用-AT45DB081 chip storage operation, in the SPI bus for the development of single-chip, can be directly used
  3. 所属分类:SCM

    • 发布日期:2017-04-14
    • 文件大小:2937
    • 提供者:cc
  1. W25Q16

    0下载:
  2. W25Q16 FLASH存储芯片的读写程序,可以用来参考SPI 4线通信的例程,程序包含读写W25Q16的状态寄存器函数,W25Q16写入数据函数-W25Q16 FLASH memory chips to read and write programs that can be used to reference the routine SPI 4 wire communication program includes literacy W25Q16 status register functio
  3. 所属分类:SCM

    • 发布日期:2017-04-15
    • 文件大小:6012
    • 提供者:李世云
  1. 407-103spiCOMMUNICATION

    0下载:
  2. 本实验程序实现的是主机STM32407与从机STM32103的SPI通信,能正确接收数据。-This experimental program is the host STM32407 and STM32103 the SPI communication,it can correctly receive data.
  3. 所属分类:SCM

    • 发布日期:2017-05-23
    • 文件大小:7026808
    • 提供者:jjj
  1. DM9051NP--ziliao-2015-11-4

    3下载:
  2. DM9051 SPI转以太网芯片;内包含参考设计+UIP驱动源码,源码分析;下载了保证不枉此行。(需要支持可联系我QQ441635295)-DM9051 SPI-to-Ethernet chip contained within the reference design+ UIP driver source code, source code analysis download a guarantee worthwhile. (Requires support can contact me
  3. 所属分类:SCM

    • 发布日期:2017-05-28
    • 文件大小:10700377
    • 提供者:吴晓良
  1. MC9S08DZ60_CN_DEMO

    0下载:
  2. MC9S08DZ60_CN_DEMO例程-MC9S08DZ60_CN_DEMO,There are a lot of SPI,SCI,RCT,can,IIC,PWM,ADC and other official routines,fully available,please download.
  3. 所属分类:SCM

    • 发布日期:2017-05-18
    • 文件大小:4811076
    • 提供者:yuanhao
  1. ST32-SI443X

    1下载:
  2. STM32单片机通过SPI方式驱动无线数传芯片SI4432,程序保证可以使用,欢迎下载!-STM32 microcontroller via SPI driven wireless data transmission chip SI4432, procedural guarantees can be used, welcome to download!
  3. 所属分类:SCM

    • 发布日期:2017-05-18
    • 文件大小:4884480
    • 提供者:sky
  1. task

    0下载:
  2. Tms320f2833使用spi总线向AT25f1024写数据和读数据。文件包含需要添加的文件和一个小的例子,内有文件使用说明,肯定看得懂-Tms320f2833 AT25f1024 using spi bus to write data and read data. Files contain and need to add a small example, there are document use, certainly you can understand! ! !
  3. 所属分类:DSP program

    • 发布日期:2017-05-11
    • 文件大小:2112650
    • 提供者:胡大院
  1. STM32F407PNRF24L01

    0下载:
  2. STM32F407+NRF24L01,使用STM32F407单片机使用SPI通过nrf24l01通信程序,可以选择作为发送端还是接收端。-STM32F407+NRF24L01, use STM32F407 microcontroller using SPI communication program through nrf24l01, can be selected as the sender or receiver.
  3. 所属分类:SCM

    • 发布日期:2017-05-22
    • 文件大小:6236784
    • 提供者:liaohaibing
  1. spilicheng

    0下载:
  2. dsp2812的外设通信spi例程,通过上机调试成功的可以直接使用的额-dsp2812 peripheral communication spi routines, through the successful commissioning of the machine can be used directly in the forehead
  3. 所属分类:DSP program

    • 发布日期:2017-04-30
    • 文件大小:442070
    • 提供者:xuliyu
  1. sourcefile

    0下载:
  2. OLED屏幕驱动程序,使用MSP430芯片,通过SPI口控制OLED,可通过宏选择使用UCA0或UCB0-OLED screen driver, use MSP430 chip through SPI port control OLED, can choose UCB0 or UCA0 by define a macro
  3. 所属分类:SCM

    • 发布日期:2017-04-30
    • 文件大小:9581
    • 提供者:yanke
« 1 2 ... 30 31 32 33 34 3536 37 38 39 »
搜珍网 www.dssz.com