CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - bcd

搜索资源列表

  1. vhdlsample

    0下载:
  2. vhdl program for bcd conter to 7 segment display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:8431
    • 提供者:jenaipsita
  1. eg

    0下载:
  2. 众多的小源程序 很实用如BCD译码数码管显示-Very useful source of many of the small digital display, such as BCD decoding
  3. 所属分类:SCM

    • 发布日期:2017-04-13
    • 文件大小:2445
    • 提供者:李云
  1. BCDMULTIPLIER

    0下载:
  2. BCD MULTIPLIER PROGRAM
  3. 所属分类:VHDL-FPGA-Verilog

  1. count

    0下载:
  2. 设置一位控制位M,要求M=0:模23计数;M=1:模109计数;计数结果用两位静态数码管显示,显示BCD码; -Setting a control bit M, requires M = 0: mode 23 counts M = 1: model 109 counts counting results with the two of static digital display to show BCD code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:211761
    • 提供者:sxh
  1. adder2

    0下载:
  2. 此源代码是基于Verilog语言的持续赋值方式定义的 2 选 1 多路选择器 、阻塞赋值方式定义的 2 选 1 多路选择器、非阻塞赋值、阻塞赋值、模为 60 的 BCD码加法计数器 、模为 60 的 BCD码加法计数器、BCD码—七段数码管显示译码器、用 casez 描述的数据选择器、隐含锁存器举例 ,特别是模为 60 的 BCD码加法计数器,这是我目前发现的最优源代码,应用于解码器领域。-This source code is based on the Verilog language def
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:1080
    • 提供者:王柔毅
  1. 2

    0下载:
  2. 将一个字节BCD码转换为两个ASCII码 例如10H变成30H和31H 结果放在缓冲区中-BCD code to convert a byte into two ASCII codes such as 30H and 31H 10H into results on the buffer
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:5046
    • 提供者:tamakiramimy
  1. division_imp4_v5

    0下载:
  2. Code VHDL for Newton Raphson BCD Division and Carry Save Multiplication in BCD
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:8074
    • 提供者:Juan Manuel
  1. Sum_Rest_BCD

    0下载:
  2. VHDL Sum and Rest BCD
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3932
    • 提供者:Juan Manuel
  1. f

    0下载:
  2. 一个BCD的优先编码器电路,输入为10个开关的状态,要求输出开 关对应的编码。输出编码用4位表示,第一个开关为0时,输出为0000时,第二个开关为0时,输出为0001时,...... 第10个开关为0时,输出为1001。第10个开关的优先级最高。当没有按键按下时,输出信号E为1。有按键按下时,输出信号E为0。 -A BCD priority encoder circuit, the input switches for the 10 state code requirements of
  3. 所属分类:SCM

    • 发布日期:2017-04-17
    • 文件大小:163082
    • 提供者:winny
  1. verilog_decimal_BCD

    0下载:
  2. 用verilog写的十进制转BCD码,希望对大家有帮助-Verilog to write with decimal switch BCD, we hope to help
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:57768
    • 提供者:veskel
  1. m41t11_RTC

    0下载:
  2. The M41T11is a low-power serial real time clock with 56 bytes of NVRAM. A built-in 32.768 kHz oscillator (external crystal controlled) and the first 8 bytes of the RAM are used for the clock/calendar function and are configured in binary coded
  3. 所属分类:SCM

    • 发布日期:2017-04-02
    • 文件大小:165594
    • 提供者:rony
  1. Advanced_Adders

    0下载:
  2. Advanced topic on adders including: Carry Look Ahead Adder, Binary Parallel Adder/Subtractor, BCD adder circuit, Binary mutiplier circuit.
  3. 所属分类:SCM

    • 发布日期:2017-04-05
    • 文件大小:338828
    • 提供者:Bao
  1. bcd2bin

    0下载:
  2. 用Verilog实现二进制码转变为bcd码-binary change into bcd code using verilog language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:1109
    • 提供者:江小霜
  1. bcd_led_printer

    0下载:
  2. 单片机硬件译码--BCD数码管 附 protuces 仿真电路图 汇编程序代码-Microcontroller hardware decoding- BCD digital circuit simulation with protuces assembler code
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:10772
    • 提供者:陈翔宇
  1. BCDEncoder8421BCD

    0下载:
  2. BCD编码器的设计(8421BCD),一个很实用的模块-BCD Encoder (8421BCD), a very practical module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2538
    • 提供者:张艳
  1. VerilogCode_BCD_counter

    0下载:
  2. Verilog Code for a BCD counter and it is implemented on Altera DE2 board-Verilog Code for a BCD counter and it is implemented on Altera DE2 board
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:1421
    • 提供者:Rahul
  1. bin_BCD

    0下载:
  2. conversor BCD-7SEGMENTOS
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:743946
    • 提供者:pinos29
  1. 23

    0下载:
  2. 16进制转BCD代码源代码51单片机亲测好用,放心copy-BCD code of 16 hex turn pro test source code 51 SCM easy to use, rest assured copy
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-02
    • 文件大小:516
    • 提供者:jacky
  1. 03-jk-ff-BCDcounter

    0下载:
  2. JK-flip flup-BCD counter with proteus
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-05
    • 文件大小:14283
    • 提供者:Ali
  1. SCM_in_BCD_conversion

    0下载:
  2. 单片机中BCD码的转换 涉及十进制与BCD的相互转换 及十六进制与BCD的相互转换-SCM in conversion of BCD involving decimal and BCD mutual transformation and hex and BCD conversion to each other
  3. 所属分类:SCM

    • 发布日期:2017-04-13
    • 文件大小:1593
    • 提供者:刘朝敏
« 1 2 ... 11 12 13 14 15 1617 18 19 20 21 ... 27 »
搜珍网 www.dssz.com