CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - bcd

搜索资源列表

  1. summator

    0下载:
  2. 加法器是产生数的和的装置。常用作计算机算术逻辑部件,执行逻辑操作、移位与指令调用。在电子学中,加法器是一种数位电路,其可进行数字的加法计算。在现代的电脑中,加法器存在于算术逻辑单元之中。 加法器可以用来表示各种数值,如:BCD、加三码,主要的加法器是以二进制作运算。加法器可以用组合逻辑电路实现也可以用VHDL语言实现。-Adder is generated and the number of devices. Arithmetic logic unit is used as a computer
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:27122
    • 提供者:王伟
  1. 18b20

    0下载:
  2. 8通道自动温度检测系统仿真,30H:采样高位 31H:采样低位 33H:存储组合的数据,初始化为0 34H35H.36H:组合的BCD码 37H~3CH:分离的BCD码,小数部分作如下处理:将3CH的数据放入3BH中-8-channel automatic temperature detection system simulation
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:2789
    • 提供者:李白
  1. digital-lock

    0下载:
  2. 电子密码锁 功能如下: l、按键接口的设计 包括: 1)键盘扫描电路 2)弹跳消除电路 3)键盘译码电路 4)按键存储电路 2、密码锁的控制电路设计 包括: 1)按键的数字输入、存储及清除 2)功能按键的功能设计 3)移位寄存器的设计与控制 4)密码清除、变更、存储、激活电锁电路 5)密码核对、解除电锁电路 3、输出七段显示电路的设计 包括: 1)数据选择电路 2)BCD对七段显示译码电路 3)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:129774
    • 提供者:ldong1989
  1. BCD_CNT

    0下载:
  2. vhdl十进制计数器。完成计数长度为0-999的BCD码加法计数器,输出数据为三个宽度为4位的数据。-decimal counter vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:33081
    • 提供者:成思远
  1. hebin

    0下载:
  2. 把m和m+1单元存有两个BCD数,将它们合并到m单元中,编写程序完成-M+1 to m and the number of units there are two BCD will merge them into m unit, write a program to complete
  3. 所属分类:Other Embeded program

    • 发布日期:2017-03-29
    • 文件大小:2357
    • 提供者:周洁路
  1. bcd_adder_8

    0下载:
  2. 一个程序,完成2位8421BCD码加法运算,带有输入进位和输出进位-BCD code implements the addition of two
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:283055
    • 提供者:Simom
  1. quartus

    0下载:
  2. 通过使用4位全加器和4位比较器以及相关组合逻辑的使用并结合BCD码加法规则构成4位BCD码加法器。-Through the use of four full adder and 4-bit comparator and associated logic of the use and combination with BCD adder rules constitute four BCD adder.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:5300
    • 提供者:姚远
  1. 7Seg---LED

    0下载:
  2. VHDL设计实验,实现VHDL设计控制交通灯-VHDL design of experiments, designed to control traffic lights to achieve VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:399945
    • 提供者:nick
  1. Counter24hour

    0下载:
  2. 用VHDL语言编写的一个二十四进制计数器,一个脉冲输入引脚,一个复位输入端,四个BCD码输出端。与我另外的八个模块是配配套的。-A 24 binary counter programmed with VHDL language.A pulse input, a reset input, four output BCD code. It is one of my total 9 modules that are used to design a digital clock.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:169876
    • 提供者:chzhsen
  1. Counter60sec

    0下载:
  2. VHDL语言编写的一个六十进制计数器(用于秒),一个脉冲输入引脚,一个复位引脚,8个BCD码输出引脚,一个进位输出引脚。与我的其它8个模块配套构成一个数字钟。 -A 60 binary counter(for second) programmed with VHDL language.A pulse input, a reset input, eight BCD code output. It is one of my total 9 modules that are used to de
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:147863
    • 提供者:chzhsen
  1. bcdd

    0下载:
  2. 这里是BCD码转换的源程序。通过查表,查找要转换的代码-this is the BCD change
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:1141
    • 提供者:蓝狐雨
  1. shixun

    0下载:
  2. 能够实现AD0809的控制电路,并且能够实现二进制转化为BCD码的功能,是个简单电压表的程序-AD0809 can realize the control circuit, and will be able to realize the binary into BCD function, is a simple voltmeter program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:178294
    • 提供者:张帅
  1. shu-zhi-zhuanhuan

    0下载:
  2. 巧用移位法进行数制转换:十进制BCD码转二进制数的流程图,二进制数转十进制BCD码的流程图,用的都是移位法!!请欣赏-Using the shift number conversion method: binary decimal BCD code to switch the flow, turn binary decimal BCD code flow chart, the shift method is used! ! Please enjoy! !
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:155829
    • 提供者:vinkerl
  1. 2.5

    0下载:
  2. 8位bcd码计数器带testbench工程,好用-8-bit bcd counter with testbench code works, easy to use
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:68874
    • 提供者:d
  1. ds18b20

    0下载:
  2. ds18b20基于51单片机c语言。程序最大的特点是一开始还能把ds18b20的64位序列号通过数码管显示出来(一个数码管是一个BCD码,四个二进制位显示)。-ds18b20 based on 51 single c language. Program can start the serial number of the ds18b20 by digital display.
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:1907
    • 提供者:
  1. icl7135

    0下载:
  2. IDL7135的引脚功能详解.ICI7135是4位双积分A/D转换芯片,可以转换输出±20000个数字量,有STB选通控制的BCD码输出,与微机接口十分方便.ICL7135具有精度高(相当于14位A/D转换),价格低的优点.-IDL7135 Detailed pin functions. ICI7135 are four pairs of integrating A/D conversion chip that can convert the amount of output ± 20000 d
  3. 所属分类:SCM

    • 发布日期:2017-03-24
    • 文件大小:521048
    • 提供者:陆非
  1. 365counter

    0下载:
  2. 使用Electronics Workbench 5.0电子仿真软件(EWB)设计的365进制计数器。-Using electronic simulation software Electronics Workbench 5.0 (EWB) design a counter(365 BCD).
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:13495
    • 提供者:ultimat
  1. db

    0下载:
  2. fulladder made by me I hope it works, the only thing I need from your database is the V74160.rar, the vhdl code for the 4 bit bcd counter with asynchronious reset.. please help me thank you
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:529198
    • 提供者:sarro
  1. ContadorBCD

    0下载:
  2. Verilog counter 0000 to 9999 with BCD visualization
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:242291
    • 提供者:groao
  1. ContadorHexa

    0下载:
  2. Counter 0 to F with BCD visualization
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:181560
    • 提供者:groao
« 1 2 ... 13 14 15 16 17 1819 20 21 22 23 ... 27 »
搜珍网 www.dssz.com