CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - bcd

搜索资源列表

  1. shumaguan_experiment

    0下载:
  2. 实验箱中包括6位数码管,用扫描显示方法,其中段和位分别通过xxx4和xxx2来实现。xxx由译码地址决定。比如,cs接8000译码输出。用C语言实现: 1.首先实现定义一个数组,主程序中对其赋值(非压缩bcd码),由定时器中断程序实现6位扫描显示。 2.实现由储存BCD码的每一个字节的最高位表示小数点,并且将显示范围扩展到0——F,而非简单的BCD码。 3.用次高位和第5位表示闪烁和消隐,分别实现闪烁和消隐的功能。-shu ma guan experiment of 8051
  3. 所属分类:SCM

    • 发布日期:2017-03-31
    • 文件大小:28697
    • 提供者:马路
  1. VHDL_BCD28

    0下载:
  2. vhdl code for BCD tranfer to 8 (LED light)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2077
    • 提供者:qqq
  1. clock

    0下载:
  2. clk: 标准时钟信号,本例中,其频率为4Hz; clk_1k: 产生闹铃音、报时音的时钟信号,本例中其频率为1024Hz; mode: 功能控制信号;为0:计时功能; 为1:闹钟功能; 为2:手动校时功能; turn: 接按键,在手动校时功能时,选择是调整小时,还是分钟; 若长时间按住该键,还可使秒信号清零,用于精确调时; change: 接按键,手动调整时,每按一次,计数器加1; 如果长按,则连续快速加1,用于快速调时和定时; hour,min,se
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:491790
    • 提供者:happy
  1. BCD

    0下载:
  2. 二进制代码转十进制,长度可调整,使用环境是modelsim-Binary code decimal
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-23
    • 文件大小:89754
    • 提供者:刘石海
  1. 1

    0下载:
  2. MCS51的顺序结构程序设计的一个实例,进行BCD码的转换-An MCS51 instance of order structure programming, about BCD code conversion
  3. 所属分类:SCM

    • 发布日期:2017-04-17
    • 文件大小:14878
    • 提供者:Yemei
  1. 4BCDcodeaddition

    0下载:
  2. 用verilog实现两个4位BCD码数字的十进制加法计算-4 bit BCD coded decimal addition calculations
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:1787
    • 提供者:小卒
  1. P1-Contador-BCD

    0下载:
  2. Practice 1 FPGA ITCH Xilinx
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:919770
    • 提供者:Rafaeleg
  1. 16jinzhizhuanhuanchengBCDma

    0下载:
  2. 单片机程序样例开发-16进制转换成BCD码,基本程序,适合初学者-Sample of the microcontroller program development-16 hex conversion into a BCD code, the basic procedure, suitable for beginners
  3. 所属分类:SCM

    • 发布日期:2017-04-10
    • 文件大小:733
    • 提供者:zhouhe
  1. A-New-Reversible-Design-of-BCD-Adder

    0下载:
  2. This a good implementation of reversible adder-This is a good implementation of reversible adder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:104889
    • 提供者:Rishabh Bansal
  1. bcdstruct

    0下载:
  2. bcd structural behavr alongwith cponent of arraymul
  3. 所属分类:VHDL-FPGA-Verilog

  1. comfun

    0下载:
  2. 电能表应用程序中基本函数代码,包括阿BCD码运算,日期时间处理等-Basic function code, including the A BCD code operation, the date and time to deal with the application of the power meter
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:3506
    • 提供者:chelei
  1. sumUnit

    0下载:
  2. 包含一个将二进制加法结果转换为3位BCD码的结构。以方便用七段译码器显示结果。-Convert result of binary adding to 3-digits BCD code, and thus make it easy to display the result with 7 segments decoders.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:817
    • 提供者:文玖泽
  1. hex_bcd

    1下载:
  2. 多字节hex转Bcd 和多字节BCD转Hex-hex to bcd & bcd to hex
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-03-30
    • 文件大小:1158
    • 提供者:fanfan
  1. counterms

    0下载:
  2. verilog语言写的可置数的倒计时计数器,共四位bcd码,分别为分钟两位和秒两位。波形完美无毛刺.开发环境没找到verilog只好写了vhdl-verilog based counter for minutes and seconds
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:644
    • 提供者:yhl
  1. 51dwbcd

    0下载:
  2. 设计并调试一个将双字节无符号二进制数转换为压缩BCD数的子程序。-Design and commissioning a will double byte unsigned binary number into the number of compressed BCD subroutine.
  3. 所属分类:SCM

    • 发布日期:2017-03-31
    • 文件大小:2800
    • 提供者:渔歌
  1. v-watch

    1下载:
  2. 基于fpga的数字电压表的设计,包括ad转换,bcd码转换,分频,3选1模块,小数点生成模块,显示模块组成。-Based on the FPGA digital voltage meter design, including AD conversion, BCD code conversion, frequency,3 choose1module, a decimal point generating module, display module.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-07-04
    • 文件大小:2048
    • 提供者:紫罗
  1. BCDdecode

    0下载:
  2. 七段 BCD 译码的实现,可以实现基础电路的译码功能,比较简单!-BCD decoder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:226016
    • 提供者:sunjunfeng
  1. FINAL

    0下载:
  2. 利用51单片机与8255模块实现简单的BCD码计算器功能-51 and 8255 module implements a simple calculator function of the BCD code
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-04
    • 文件大小:1075
    • 提供者:武煜
  1. MAX7219-7221

    0下载:
  2. 日期:2012-5-6 MAX7221 ISET:通过电阻连接VDD以控制最高段电流。9.53K,40mA DOUT:串行数据输出,用于级联。 16位寄存器:地址(高8位)+数据(低8位),先高后低 两种解码模式:BCD译码,无译码-Date :2012-5-6 MAX7221 ISET: connection to VDD through a resistor to control the maximum segment current. 9.53K, 40mA the D
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:40970
    • 提供者:梁文成
  1. Count-display-circuit-design(VHDL)

    0下载:
  2. 用VHDL语言设计计数显示电路。设计输出为3位BCD码的计数显示电路。由三个模块构成:十进制计数器(BCD_CNT)、分时总线切换电路(SCAN)和七段显示译码器电路(DEC_LED)-VHDL language to count the display circuit. The design output for display circuit 3 BCD count. Consists of three modules: the decimal counter (BCD_CNT), time
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:46405
    • 提供者:hhsyla
« 1 2 ... 15 16 17 18 19 2021 22 23 24 25 26 27 »
搜珍网 www.dssz.com