CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - can verilog

搜索资源列表

  1. MAX-PLUSII-soft

    0下载:
  2. MAX+PLUSII软件是一个功能强大,容易使用的软件包,它可以以图 形方式、文字输入方式(AHDL、VHDL和VERILOG)和波形方式输入设计文 件,可以编译并形成各种能够下装到EPROM和各种ALTERA器件的文件,还可 以进行仿真以检验设计的准确性,下面举例说明该软件的使用-MAX+ PLUSII software is a powerful, easy-to-use software package, which can graphically, text input me
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:125111
    • 提供者:徐靖
  1. divider

    0下载:
  2. 基于Verilog的除法器设计,可以直接在Q2里面运行哦~-Verilog-based design of the divider, which can be run directly in Q2 Oh ~
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:1771
    • 提供者:谢玮霖
  1. FPGA_jiaocheng_yu_shiyan

    0下载:
  2. 最重要的是七个从简单到复杂的实验,包括:基础实验一_FPGA_LED 基础实验二_seg7实验以及仿真 基础实验三_SOPC_LED 基础实验四_Flash烧写 基础实验五_定时器实验 基础实验六_按键以及PIO口中断实验 实验七_网卡使用 ,这些实验室用到了SOPC BUILDER 与NOIS ii ,使用Verilog 编写,有实验板和没有实验板的都可以用来学习。 其次还包括: FPGA开发板各存储器之间的联系、 多处理器文档 、 USB_UART等文档,很好用的文档,您下了相信不会后悔!-
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-20
    • 文件大小:6065126
    • 提供者:yuezhiying_007
  1. pcisim.tar

    0下载:
  2. 此代码用于生成测试PCI设备的Verilog代码(Verilog代码为一种硬件描述语言)。此代码可以直接运行于LINUX下。-This code used to generate test PCI devices Verilog code (Verilog code for a hardware descr iption language). This code can be directly under the running on LINUX.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-07
    • 文件大小:69488
    • 提供者:任捷
  1. a_block_with_several_functions_with_Verilog_HDL.ra

    1下载:
  2. Verilog是广泛应用的硬件描述语言,可以用在硬件设计流程的建模、综合和模拟等多个阶段。随着硬件设计规模的不断扩大,应用硬件描述语言进行描述的CPLD结构,成为设计专用集成电路和其他集成电路的主流。通过应用Verilog HDL对多功能电子钟的设计,达到对Verilog HDL的理解,同时对CPLD器件进行简要了解。 本文的研究内容包括: 对Altera公司Flex 10K系列的EPF10K 10简要介绍,Altera公司软件Max+plusⅡ简要介绍和应用Verilog HDL对多功能
  3. 所属分类:VHDL编程

    • 发布日期:2017-05-05
    • 文件大小:482983
    • 提供者:li
  1. FREQSYN

    0下载:
  2. 使用Verilog语言编写的使用SPI总线设置频率LM2346,可通过设置其R寄存器对其输出频率进行设置(需相应的射频电路相配合)。-The use of Verilog language use SPI bus frequency settings LM2346, can be by setting up its R register set of its output frequency (to be matched by corresponding RF circuitry).
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-10
    • 文件大小:1530
    • 提供者:张键
  1. top377

    0下载:
  2. 3955步进电机的驱动的cpld的verilog程序,经过测试,可以在ISPLEVER下调试,包括总线的译码等.非常完整-3955 stepper motor-driven Verilog CPLD' s procedures, after testing, can ISPLEVER debugging, including the bus, such as decoding. Very complete
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-13
    • 文件大小:2433
    • 提供者:yangyiping
  1. 11_FIR

    0下载:
  2. 11阶滤波器的verilog编程语言,可很好的实现滤波功能。-11-order filter verilog programming language, can achieve very good filtering.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:3251
    • 提供者:周祥娟
  1. XHDL3Version3·2·37

    0下载:
  2. vhdl语言和verilog语言转换工具 能很容易的实现两种语言的相互转换-verilog language vhdl language and conversion tools can easily achieve the conversion between two languages
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-15
    • 文件大小:3963600
    • 提供者:liulei
  1. uartverilog

    0下载:
  2. 实现cpld和pc机之间的串口通信,PC机传送到CPLD的信息,CPLD传回到PC机-Via verilog language ,cpld can communcate with pc.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-07
    • 文件大小:4513
    • 提供者:朱特
  1. VGA

    0下载:
  2. cpld实现vga驱动的程序,用verilog语言实现。-Via verilog language,it can complete the drive of vga module.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-25
    • 文件大小:233152
    • 提供者:朱特
  1. uart_verilog

    0下载:
  2. 串口的Verilog源程序,可以用modelsim下进行仿真调试-Serial port of the Verilog source code can be carried out under the modelsim simulation debugging
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:9182
    • 提供者:huangguilin
  1. 1602

    0下载:
  2. 用verilog写的1602驱动 仿真已经通过,可以使用-Written in 1602 by verilog-driven simulation has been passed, you can use
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1652
    • 提供者:xuwen
  1. AppendixC_quartus

    0下载:
  2. Quartus appendix - Can be useful if you start using quartus II to code in verilog-Quartus appendix- Can be useful if you start using quartus II to code in verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:350825
    • 提供者:boobagump
  1. sourcefile

    0下载:
  2. 在Altera公司的Cyclone系列FPGA开发板上试验的按键中断程序,希望对那些学习中断开发的初学者有帮助。 pio_key.v是verilog编写的按键中断程序,对应四个按键,按其中任何一个键都可以发送一个中断; keyint.c是Nios中编写的C程序,用于检测按键的中断,如果检测到中断,会检测是哪个按键按下,从而执行相应的程序! -In Altera' s Cyclone series FPGA development board interrupt key test
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:2754
    • 提供者:王陶
  1. count__10

    0下载:
  2. 这是VERILOG语言编写的程序,可在FPGA板上运行.有很大的作用.谢谢.-This is a program written in VERILOG language can be run in the FPGA board. Have a significant role. Thank you.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:168995
    • 提供者:xujun
  1. istarVHDL

    0下载:
  2. 压缩包包含有100个VHDL的程序实例,从简单到复杂有一个渐变的过程,非常适合自学CPLD/FPGA者(使用Verilog HDL者可以不下载)-Compression bags containing 100 examples of VHDL procedures, from the simple to the complex there is a gradual process, and is ideal for learning CPLD/FPGA are (using Verilog HD
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:255834
    • 提供者:王帅
  1. counter.tar

    0下载:
  2. 基於verilog 所製成的counter程序,可以向上計數-Verilog made based on the procedures of the counter can count up
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:686
    • 提供者:李彥瑾
  1. I2C

    0下载:
  2. I2C主机端模块 具有avalon-MT总线接口 可挂载在Altera soc系统之上 使NiosII处理器具备I2C通信能力 模块由Verilog HDL编写 并经Cyclone II FPGA测试-I2C master modul which has a avalon-MT interface that can be attached to Altera SOC system. It provides NiosII I2C communication capability . This mo
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:5917
    • 提供者:magic_andy
  1. song

    0下载:
  2. 歌曲是什么名字我忘了,代码仅提供一个用verilog编写音乐的模板,想编写什么音乐就往里边套用格式就行了。 本程序无法用软件实现仿真音乐效果,当然可以仿真波形输出,真实音乐效果需用开发板仿真才行,所以就不附仿真图了 用quartus2软件打开即可。 -What are the names of songs I forgot, the code with verilog only prepared to provide a template for the music, what mu
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1018
    • 提供者:杨帆
« 1 2 ... 21 22 23 24 25 2627 28 29 30 31 ... 50 »
搜珍网 www.dssz.com