CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - character

搜索资源列表

  1. shiyan6_1

    0下载:
  2. 用vhdl语言设计液晶显示14行汉字的程序-Language design using vhdl line 14 character LCD display program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:126222
    • 提供者:kys
  1. led

    0下载:
  2. lgm12641bs1r驱动显示程序 汉字为16*16 数字字母为8*16-lgm12641bs1r driver display program 16 character alphanumeric 16* 8* 16
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:1309
    • 提供者:刘飞
  1. serialport

    0下载:
  2. 可以通过串口助手向51单片机发送八个字符并液晶1602显示,单片机收到字符后串口回发给PC,通过串口助手查收,经过实践验证-Assistant through the serial port to send to the microcontroller 51 and the LCD 1602 displays eight characters, the microcontroller serial port back to the issue after receiving character
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:35562
    • 提供者:为飞
  1. LCD1602

    0下载:
  2. 1602字符型型LCD及其程序设计,很不错的资料-1602 character-based LCD and program design, very good information
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-26
    • 文件大小:272036
    • 提供者:周东
  1. Chinesecharactergenerator

    0下载:
  2. 汉字生成器。学习或使用点阵显示汉字时的必备小软件,简单实用!-Character generator. Dot-matrix display Chinese characters to learn or use a small software when necessary, simple and practical!
  3. 所属分类:SCM

    • 发布日期:2017-05-15
    • 文件大小:3673559
    • 提供者:曾炜
  1. display1211

    0下载:
  2. 在sparten 3E FPGA上的液晶显示器的控制时序verilog程序,可以在液晶屏上显示任意字符-Sparten 3E FPGA in the liquid crystal display on the control of timing verilog program, you can display any character on the LCD screen
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:814692
    • 提供者:邓民明
  1. 12864LCD

    0下载:
  2. 12864点阵液晶资料,12864A-1 汉字图形点阵液晶显示模块,可显示汉字及图形,内置8192 个中文汉字-12864 dot matrix liquid crystal materials, 12864A-1 character dot matrix LCD module, can display characters and graphics, built-in 8192 Chinese characters
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-08
    • 文件大小:578269
    • 提供者:韩忠
  1. LCD_clock

    0下载:
  2. 按AN3,依次进入闹钟功能是否启用,闹钟时,分秒,年,月,日及时间时,分,秒//的设置,直到退出设置状态 按AN4,调整是否起用闹钟和调节闹钟时,分,秒,年,月,日,时间的时,分,秒的//数字 LCD第二排中间显示小喇叭,表示启用闹钟功能,无则禁止闹钟功能(可在调//整状态进行设置) 正常状态,LCD上排最前面显示自定义字符,LCD下排最前面闪动"RICHMCU" 设置状态,LCD上排最前面显示"P",下排最前面在设置闹钟时间时显示//"alarm:",其它状态显示"time"
  3. 所属分类:SCM

    • 发布日期:2017-04-02
    • 文件大小:35972
    • 提供者:陈斌
  1. 123456

    0下载:
  2. UCGUI汉字库程序-UCGUI Store procedures-UCGUI Chinese character library program-UCGUI Store procedures
  3. 所属分类:uCOS

    • 发布日期:2017-04-13
    • 文件大小:2291
    • 提供者:鲁慧民
  1. 5110_LCD_module_character_display

    0下载:
  2. 5110液晶模块字符显示试验,开发环境keil,内有详细注释-5110 character display LCD module test development environment keil, with detailed comments
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-05
    • 文件大小:25200
    • 提供者:pei95032
  1. VGAzifuxianshi

    0下载:
  2. 用VERILOG编写的VGA字符显示,可以在电脑屏幕上显示字符,已通过测试-Prepared with the VERILOG VGA character display, can display characters on a computer screen, has been tested
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:8434
    • 提供者:闫碎猴
  1. 12864LCM

    0下载:
  2. 周立功 12864 LCM 显示器 源代码,有显示图和汉字的。 这个是无字库的-ZLG 12864 LCM display source code, showing the map and the Chinese characters. This is no character in the
  3. 所属分类:SCM

    • 发布日期:2017-04-17
    • 文件大小:57405
    • 提供者:icebat
  1. character-codeV2.2

    0下载:
  2. 单片机开发中显示字符所需要的工具,可以方便地得到显示字符的编码-a usful tool to get the code of displayed charactor
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:262486
    • 提供者:gaojinshan
  1. 160160d1

    0下载:
  2. 80C51单片机驱动160160液晶显示的程序,有汉字库-80C51 Microprocessor 160,160 LCD display in the program, there are Chinese character library
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:8010
    • 提供者:郑文兵
  1. BasedontheLCD128X64STC12C5410ADgraphicsdesignprogr

    0下载:
  2. 基于STC12C5410AD单片机的人机交互图形界面设计,包含子模块LCD128x64字符图形显示和4x4键盘扫描程序设计。-STC12C5410AD based interactive GUI microcontroller design, including the sub-module LCD128x64 character graphics display and 4x4 keypad scanner design.
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:3266
    • 提供者:wengchaowc
  1. 3264DianZhen

    0下载:
  2. Keil代码 proteus仿真 点阵显示汉字 -Keil code proteus simulation character dot matrix display
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:68876
    • 提供者:望月追忆
  1. ZLGGUI_LPC2478

    0下载:
  2. 在LPC2478上移植ZLGGUI,能在480*320 LCD显示字符、汉字和图形,ADS1.2。-Port ZLGGUI on LPC2478,can display ASCII、Chinese character and graf,use ADS1.2。
  3. 所属分类:SCM

    • 发布日期:2017-03-30
    • 文件大小:172738
    • 提供者:
  1. xuanzhuanshizhong

    0下载:
  2. 旋转时钟,以16位发光二极管的旋转代替点阵的列扫描,实现字符和图像的显示 扫描键盘是4*4的按键扫描,为初始练习的小程序-Rotate the clock, to 16 replace the lattice rotation LED scanning the columns to achieve the character and display the scanned image is 4* 4 keyboard key scanning, small program to practi
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:990
    • 提供者:luwanjia
  1. 1602

    0下载:
  2. KS0070(44780) 16x2 字符液晶屏驱动演示程序总线方式,AT89C51的晶振频率为12MHz-KS0070 (44780) 16x2 character LCD screen, the bus driver demo mode, AT89C51 crystal frequency is 12MHz
  3. 所属分类:SCM

    • 发布日期:2017-04-17
    • 文件大小:18078
    • 提供者:hexiaobin
  1. lcdlcd

    0下载:
  2. 写汉字液晶子程 液晶屏分为4行*12列汉字,全部使用模拟接口方式。 /* TGLCMLIMIT64A接口程序(模拟方式)-Write Chinese character LCD LCD screen is divided into 4 sub-way line* 12 characters, all with analog interfaces. /* TGLCMLIMIT64A interface program (simulation)
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-03-29
    • 文件大小:6424
    • 提供者:hexiaobin
« 1 2 ... 42 43 44 45 46 4748 49 50 »
搜珍网 www.dssz.com