CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - gray code binary

搜索资源列表

  1. VHDL范例

    1下载:
  2. 最高优先级编码器 8位相等比较器 三人表决器(三种不同的描述方式) 加法器描述 8位总线收发器:74245 (注2) 地址译码(for m68008) 多路选择器(使用select语句) LED七段译码 多路选择器(使用if-else语句) 双2-4译码器:74139 多路选择器(使用when-else语句) 二进制到BCD码转换 多路选择器 (使用case语句) 二进制到格雷码转换 双向总线(注2) 汉明纠错吗译码器 三态总线(注2) 汉明纠错吗
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:42884
    • 提供者:kerty
  1. Bintograyconverter

    0下载:
  2. 二进制到格雷码转换ASD ASD ASD-binary Gray code conversion to ASD ASD ASD ASD ASD
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2730
    • 提供者:徐登伟
  1. counter_3

    0下载:
  2. 三种计数器的verilog实现,二进制计数器,格雷码计数器,约翰逊计数器.初学硬件描述语言可参考。-Three kinds of counter verilog implementation of a binary counter, gray code counter, Johnson counter beginner hardware descr iption language can refer to
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1395
    • 提供者:李菲
  1. binary_to_gray

    0下载:
  2. 将二进制数转化为格备码,4位并行。binary_input为二进制数输入, gray_output为格雷码输出。-Will be converted into binary code grid preparation, 4-bit parallel. binary_input for binary input, gray_output for the Gray code output.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:12451
    • 提供者:changhe
  1. geryandbin

    0下载:
  2. 在fpga中实现的格雷码与二进制的相互转换-In the FPGA implementation of the Gray code and binary conversion
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-12
    • 文件大小:691
    • 提供者:王石子
  1. VHDLCODE

    0下载:
  2. VHDL的一些典型源代码,有七段数码管译码器,格雷码转换为二进制码,八位数字比较器等等。-Typical VHDL source code, there are Seven-Segment LED Decoder, Gray code is converted to binary code, the eight figures and so on.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:160598
    • 提供者:李军
  1. binary to gray and gray to binary code converter

    0下载:
  2. this project is based on 4bit binary to gray and gray to binary code converter using vhdl.this is the 100 correct code,reference is taken from book digital electrionics written by anand kumar.please use quatrus to access this code.this code can be
  3. 所属分类:VHDL编程

    • 发布日期:2013-10-16
    • 文件大小:60938
    • 提供者:jatab
  1. Binary.code.Gray.code.converter

    0下载:
  2. 二进制码格雷码转换器 进行二进制码格雷码转换,vhdl,QuartusⅡ-Binary code Gray code converter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:25494
    • 提供者:duopk
  1. vhdl

    1下载:
  2. 用VHDL语言实现的二进制到BCD码和格雷码的转换,程序通读性比较好。-VHDL language with the binary code and Gray code to BCD conversion, the program read through is better.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:1142
    • 提供者:周波
  1. VHDL-node

    0下载:
  2. VHDL的一些实验代码,其中有4位可逆计数器,4位可逆二进制代码-格雷码转换器设计、序列检测器的设计、基于ROM的正弦波发生器的设计、数字密码锁的设计与实现-Some experiments of VHDL code, which has four reversible counters, four reversible binary code- Gray code converter design, sequence detection Design, ROM-based sine wav
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:48941
    • 提供者:张联合
  1. code

    0下载:
  2. its a code which uses des binary to gray to encrpt data . this code is exclusively for a Universal FPGA Kit with Xilinx XC2S200PQ208 -its a code which uses des binary to gray to encrpt data . this code is exclusively for a Universal FPGA Kit with Xi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:1185
    • 提供者:Vish
  1. assg-2-2-code-converter

    0下载:
  2. CODE CONVERTER IN VHLD ,Binary to Gray using structural modelling of XOR Gate
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-02
    • 文件大小:20212
    • 提供者:milind
  1. binary-and-gray

    1下载:
  2. 二进制码和格雷码互相转换verilog源码-Binary code and Gray code conversion verilog source
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-19
    • 文件大小:549142
    • 提供者:zxh
  1. gray

    0下载:
  2. 这个程序实现的是格雷码和二进制码的转换的问题的-This program is to achieve a Gray code and binary code conversion problems
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-27
    • 文件大小:11608
    • 提供者:Armstrong
  1. erjinzhidaogeleima

    0下载:
  2. < 二进制到格雷码转换》绝对好用的EDA实验程序!已经通过测试-< Binary to Gray code conversion absolutely useful experimental procedures EDA! Has passed the test
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-12
    • 文件大小:1073
    • 提供者:ll2per
  1. Quartus_FPGA

    0下载:
  2. this a smal programme that convert a binary code to a gray code, and a file that expalin the DE2 pin assignements-this is a smal programme that convert a binary code to a gray code, and a file that expalin the DE2 pin assignements
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:154785
    • 提供者:takachy
  1. erjinzhidaogeleima

    0下载:
  2. < 二进制到格雷码转换》绝对好用的EDA实验程序!已经通过测试-< Binary to Gray code conversion absolutely useful experimental procedures EDA! Has passed the test
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-12
    • 文件大小:1112
    • 提供者:ly8Xi
  1. gray_binary_conv

    0下载:
  2. 用VHDL实现的格雷码,有格雷码计数器、格雷码转二进制、二进制转格雷码!-VHDL implementation of the Gray code, there is Gray code counter, Gray code to binary, Gray code Binary!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1003
    • 提供者:登入
  1. gray2bin

    0下载:
  2. 格雷码转二进制 格雷码转二进制-Transfer binary Gray code binary Gray code switch
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:596
    • 提供者:wcc
  1. EX7_BINARY2GRAY

    0下载:
  2. 本模块是实现格雷码和二进制码的转换,并给出仿真测试文件-This module is to achieve the conversion of Gray code and binary code, and give the simulation test file
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:3064712
    • 提供者:蔡润泽
« 12 »
搜珍网 www.dssz.com