CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - light off

搜索资源列表

  1. zhuangtaizhishi

    0下载:
  2. 多路开关状态指示 如图4.3.1所示,AT89S51单片机的P1.0-P1.3接四个发光二极管L1-L4,P1.4-P1.7接了四个开关K1-K4,编程将开关的状态反映到发光二极管上。(开关闭合,对应的灯亮,开关断开,对应的灯灭)。 -multiple switch state instructions shown in Figure 4.3.1. AT89S51 SCM P1.0 - P1.3 then four LED L1 - L4, P1.4 - P1.7 then sw
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:47137
    • 提供者:杨觉
  1. rushing

    0下载:
  2. A six people s rushing replies an implement, use some s switches in toggle switch K0 ~ K5 is that ON accomplishes when rushing to reply button , nobody rush to answer, 6 numerical code circulation takes turns at demonstrating 1 ~ 6 (horse races) , wh
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:1205
    • 提供者:gggwffg
  1. 用verilog语言编写的按键控制流水灯实验程序

    1下载:
  2. 用verilog语言编写的按键控制流水灯实验程序。通过3个按键可以分别控制流水灯的亮灭、左移、右移。压缩包内也包含此按键控制流水灯实验程序的modelsim仿真文件。-Verilog language with control buttons light water experimental procedure. By three buttons can control the light water lights off, left, right. This archive also cont
  3. 所属分类:VHDL编程

    • 发布日期:2016-12-23
    • 文件大小:190189
    • 提供者:广子
  1. cc2430-21.rar

    0下载:
  2. 程序在主程序中没有连续改变小灯的状态,而在开始运行时将其关闭,延时后点亮。实验现象是一只小灯不断闪烁,这是因为程序中启动了看门狗,看门狗时间长度为1 秒,如果1 秒内没有复位看门狗的话,系统将复位。系统复位后再次开启看门狗,1 秒后复位。,Procedures in the main program there is no continuous change in the status of small lights, and at the beginning of run-time to tu
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:8295
    • 提供者:aka
  1. source

    0下载:
  2. 按A模块的按键 -控制B模块的LED亮灭-Press the A button - control module B module LED light off
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-06-10
    • 文件大小:17859170
    • 提供者:wang
  1. sp6ex6

    0下载:
  2. 按键消抖与LED开关实例,5个导航按键的按下与 否,对应控制LED D2/D3/D4/D5/D6的亮灭切换-Key debounce switch with LED example, 5 navigation keys and press No, the corresponding LED control D2/D3/D4/D5/D6 light off switch
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-30
    • 文件大小:227245
    • 提供者:chi
  1. buttom-interrupt-to-LED

    0下载:
  2. 基于研旭DSP28335的开发板编写的按键13实现中断控制LED亮灭,对初学DSP中断有很好的帮助- Based on research Asahi DSP28335 Development Board prepared to achieve 13 key interrupt control LED light off, DSP interrupt for beginners have a good help
  3. 所属分类:DSP program

    • 发布日期:2017-05-03
    • 文件大小:568600
    • 提供者:hebaolee
  1. timer-to-LED1

    0下载:
  2. 基于研旭DSP28335基础版编写的例程,通过定时器控制LED1的亮灭,定时时间可调-Based on research Asahi DSP28335 Basic routines written by timer control LED1 light off, adjustable timing
  3. 所属分类:DSP program

    • 发布日期:2017-05-03
    • 文件大小:566449
    • 提供者:hebaolee
  1. DA-_LED

    0下载:
  2. 单片机的入门程序,DA转换模块控制LED灯的亮灭-SCM entry procedures, DA converter module controls the LED light off
  3. 所属分类:SCM

    • 发布日期:2017-05-04
    • 文件大小:34444
    • 提供者:
  1. breath

    0下载:
  2. 基于51单片机的使用PWM调制的呼吸灯,可随正常人的呼吸亮灭。-51 microcontroller-based PWM modulation using breathing light, can light off with normal breathing.
  3. 所属分类:SCM

    • 发布日期:2017-04-12
    • 文件大小:557
    • 提供者:zhanghua
  1. DLAJ_51

    0下载:
  2. 用51单片机按键输入LED的亮灭 用51单片机按键输入LED的亮灭-51 single input button LED light off
  3. 所属分类:SCM

    • 发布日期:2017-04-12
    • 文件大小:659
    • 提供者:傅小熙
  1. sw_debounce

    0下载:
  2. 当三个独立按键的某一个被按下后,相应的LED被点亮;再次按下后,LED熄灭,按键控制LED亮灭 -When one of the three independent keys is pressed, the corresponding LED is lit once again, after the LED is out, the button control LED light off
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:1248
    • 提供者:左乐
  1. LED

    0下载:
  2. 基于52单片机的广州塔LED灯程序,控制亮灭-Based on 52 single-chip LED lights Guangzhou Tower program to control light off
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:23224
    • 提供者:myname
  1. LED

    0下载:
  2. 用proteus仿真的电路图,甲单片机控制乙单片机led的亮灭,程序源码和仿真图都在压缩包里面了-With Proteus Simulation of the circuit diagram, a single chip microcomputer control LED light off, the program source code and Simulation of the compression package inside the
  3. 所属分类:SCM

  1. KEY2LED_USART

    0下载:
  2. 该C程序基于STM8L15x系列微处理器,在IAR开发环境下编译,实际能够实现按键控制LED亮灭,串口接收发送数据的功能。很经典的STM8入门例程,供新手学习。-The C program is based STM8L15x family of microprocessors, in the IAR compiler development environment, to achieve real keys to control LED light off, the function seria
  3. 所属分类:SCM

    • 发布日期:2017-05-24
    • 文件大小:7407380
    • 提供者:Gilbert.Y
  1. 1.IO-Led-on-or-off

    0下载:
  2. IO控制Led亮灭 基于CC2530单片机-IO control Led light out based on CC2530 MCU
  3. 所属分类:SCM

    • 发布日期:2017-05-07
    • 文件大小:1294562
    • 提供者:lllun
  1. 3.key-control-led-on-or-off

    0下载:
  2. 按键控制LED亮灭,LED1 LED3均可使用-Button control LED light out, LED3 LED1 can be used
  3. 所属分类:SCM

    • 发布日期:2017-05-05
    • 文件大小:366214
    • 提供者:lllun
  1. USART1-_led

    0下载:
  2. stm32嵌入式系统串口通信源码,可连接蓝牙,发送字符控制相应引脚的灯的亮灭-STM32 embedded system serial communication source code, can be connected to Bluetooth, send characters to control the corresponding pin of the lamp light off
  3. 所属分类:SCM

    • 发布日期:2017-05-17
    • 文件大小:4161619
    • 提供者:陈庆特
  1. Sound-and-light---temperature-alarm

    0下载:
  2. 1、温度显示 声光型数字温度报警器是基于单片机控制的数字化功能平台。以单片机为核心控制的电路,结合数码管及其它元器件的使用,温度传感器接收温度,通过电路,使它能在数码管上显示。 2、温度报警 通过C语言编写的程序来控制单片机的运行,设置上限温度,当温度达到所设定的温度时,蜂鸣器就接通,发出声音,提示温度超过所设定。 3.报警解除 当实际温度超过所设定的温度时,蜂鸣器报警,如果要切断报警,可以做出终止报警,但是温度还在数码管上显示。 -1, temperature
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-05-05
    • 文件大小:97785
    • 提供者:
  1. 6_key_test

    0下载:
  2. 用Verilog实现的按键控制LED灯,按下按键,对应的灯亮,再按一下灯灭。-Use Verilog to achieve the key to control the LED lights, press the button, the corresponding light, and then click the light off.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-13
    • 文件大小:3130273
    • 提供者:ye
« 1 2 ... 4 5 6 7 8 910 11 12 13 14 ... 22 »
搜珍网 www.dssz.com