CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - ov7670 fpga

搜索资源列表

  1. OV7670initial

    0下载:
  2. ov7670硬件初始化代码,运行在alteral cyclone 2 fpga上-the hardware initializition of ov7670,running at cyclone 2 fpga platform
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:484127
    • 提供者:黄茂才
  1. ov7670

    0下载:
  2. fpga_sopc_ov7670_驱动程序,含有硬件电路!-Fpga_sopc_ov7670_ driver, contain hardware circuit.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-09
    • 文件大小:15855190
    • 提供者:bangmin hu
  1. NIOSII_TFT_COMS

    2下载:
  2. 带FIFO的ov7670 FPGA应用程序,经测试可用,望采纳。-With the FIFO the ov7670 FPGA applications used by the test, looking to adopt.
  3. 所属分类:VHDL编程

    • 发布日期:2013-12-02
    • 文件大小:1683714
    • 提供者:尹鹏
  1. fpga--OV7670-code

    0下载:
  2. fpga 对OV7670的硬件初始化 希望对你有点帮助-ov7670 initialized code in fpga
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-15
    • 文件大小:109096
    • 提供者:wangzao
  1. camero_driver

    0下载:
  2. 驱动并初始化OV7670摄像头,并在FPGA上做初步的数据处理和存储,用Diamond2.0软件进行仿真和调试的配置-Driver and initialize OV7670 camera on FPGA preliminary data processing and storage, Diamond2.0 software simulation and debugging configuration
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-19
    • 文件大小:4898477
    • 提供者:jasmine
  1. sdram_ov7670_rgb565

    0下载:
  2. VGA显示,相当给力,采用FPGA实现的,我使用的是OV7670摄像头采集数据的-VGA display, quite a force, using FPGA, and I' m using OV7670 camera data acquisition
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-19
    • 文件大小:5374746
    • 提供者:车龙
  1. sdram_ov7670_rgb

    0下载:
  2. ov7670+sdram+vga显示的代码,用verilog写的 ,fpga开发时的参考资料-code ov7670+sdram+vga displayed with verilog written references when fpga development
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-21
    • 文件大小:6200424
    • 提供者:yu
  1. sdram_ov7670_vga

    0下载:
  2. 基于OV7670摄像头的FPGA采集工程,通过VGA显示输出。-OV7670 camera based on FPGA acquisition projects through VGA display output.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-15
    • 文件大小:3851660
    • 提供者:微笑
  1. OV7670_VGA

    2下载:
  2. 采用OV7670摄像头采样视频数据通过FPGA DE2开发板用VGA显示在显示屏上。-Using OV7670 camera video data sampled by FPGA DE2 development board with a VGA display on the screen.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:946241
    • 提供者:jack chen
  1. SOBLE_VGA

    0下载:
  2. 本设计是通过摄像头OV7670采集图像,然后通过FPGA进行边沿检测算法,最后通过VGA进行显示。-This design is through the camera OV7670 capture images, and then through the FPGA edge detection algorithm, and finally through VGA display.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-09
    • 文件大小:1558798
    • 提供者:马清源
  1. ov7670-1

    0下载:
  2. ov7670摄像头FPGA数据采集、显示模块,测试可用-ov7670 camera, verilog code, video capture and display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-22
    • 文件大小:6324852
    • 提供者:yexin
  1. ov7670_lcd_verilog

    0下载:
  2. OV7670 摄像头LCD 显示的FPGA代码,测试通过-OV7670 camera LCD display FPGA code, the test passed
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-26
    • 文件大小:8200235
    • 提供者:tengdaizhou
  1. 带FIFO的ov7670 FPGA应用程序,经测试可用

    1下载:
  2. 这是用Verilog编写的OV7670摄像头驱动代码,带FIFO,经测试可用。(This is written in Verilog OV7670 camera driver code, with FIFO, tested available.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-19
    • 文件大小:1683456
    • 提供者:jomair
  1. 超声波测距模块

    0下载:
  2. 本人做的一个项目中的其中一个模块——FPGA超声波测距,很好用(Design of ultrasonic distance measuring module improved by using FPGA)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-21
    • 文件大小:3959808
    • 提供者:crowboy
  1. sdram_ov7670_vga_v2.0

    0下载:
  2. 基于FPGA的OV7670摄像头驱动源代码,支持VGA输出。分辨率640*480.(FPGA based OV7670 camera driver source code, support VGA output. Resolution 640*480.)
  3. 所属分类:VHDL/FPGA/Verilog

  1. sdram_ov7670_rgb_vga_640480

    0下载:
  2. IIC配置ov7670,图像存储到sdram,并通过vga显示(IIC configuration ov7670, images stored to SDRAM, and displayed through the VGA)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-28
    • 文件大小:6973440
    • 提供者:songchao
  1. cmos_i2c_ov7670

    1下载:
  2. 完成OV7670摄像头的一个I2C协议配置,从机主机的时序读取编写功能(Complete the OV7670 camera an I2C protocol configuration, slave host timing read write function)
  3. 所属分类:VHDL/FPGA/Verilog

  1. ov7670

    0下载:
  2. 摄像头ov7670的驱动开发。可通过sccb配置寄存器来选择VGA,QVGA,QQVGA输出。(The driver development of the camera ov7670.The SCCB configuration register can be used to select VGA, QVGA, and QQVGA output.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-22
    • 文件大小:8397824
    • 提供者:过客3944
  1. ov7670_sdram_lcd

    0下载:
  2. ov7670采集图像信息,缓存到SDRAM内部,再输出到lcd显示屏来显示出来。(Ov7670 collects image information, caches inside SDRAM, and then outputs it to the LCD display to display it.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-22
    • 文件大小:7924736
    • 提供者:过客3944
  1. 运动目标检测

    2下载:
  2. 通过fpga开发板控制ov7670摄像头检测目标 实现运动检测(shi xian yun dong jian ce .)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2019-11-10
    • 文件大小:13312
    • 提供者:zero_lz
« 12 »
搜珍网 www.dssz.com