CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - quartus

搜索资源列表

  1. DAC902

    0下载:
  2. DAC902测试 Quartus II 实现的-DAC902 test Quartus II implementation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:882491
    • 提供者:叶才三
  1. 8255_VHDL_source

    0下载:
  2. 基于quartusII的8255设计方案,采用硬件描述语言VHDL描述,很好的实现了8255通用接口芯片的设计-a project about 8255 chip based on quartusII,discr ipted by vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:284064
    • 提供者:voncedar
  1. seg4_to_7

    0下载:
  2. 7段数码管译码器,在quartus里面实现,4为二进制数转换为7段数码管显示方式的二进制数-7 digital control decoder, which achieved in quartus, 4 for the binary number is converted to 7-segment digital display means of a binary number
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1366
    • 提供者:张道宁
  1. shiyan3

    1下载:
  2. 在quartus中打开,这是4位无符号数乘法器的bdf电路图。很精髓!-Open in quartus, which is 4 bit unsigned number bdf multiplier circuit. Very essence!
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-15
    • 文件大小:7750
    • 提供者:张道宁
  1. 2010_07_01_VHDL

    1下载:
  2. 基于VHLD和Quartus II 8.0 的抢答器和交通灯程序。 -Based VHLD and Quartus II 8.0 of the Responder and the traffic light program.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2256088
    • 提供者:
  1. 21840261RS(32to28)encoderanddecodervhdl

    0下载:
  2. (32,28)编码和译码程序 ,基于vhdl来实现的,并且在quartus中运行实现-(32,28) coding and decoding process, based on vhdl to achieve, and run to achieve in quartus
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2208568
    • 提供者:wmm
  1. Quartus_CRACK

    0下载:
  2. Quartus_CRACK_license.dat破解文件,对初学软件的朋友有用。-Quartus_CRACK_license.dat crack file, be useful for beginners software friends.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:314993
    • 提供者:WANG
  1. VtoRGB

    1下载:
  2. Verilog写得BT656视频数据转为RGB数据的Quartus工程文件!-The verilog module for changing BT656 data to RGB data!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-03-07
    • 文件大小:149504
    • 提供者:GC
  1. MCU_FPGA_62256

    0下载:
  2. 单片机控制FPGA实现62256的读写功能的程序,使用Quartus II平台进行开发。-Microcontroller FPGA to read and write functions to achieve 62 256 procedures, the use Quartus II development platform.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:87986
    • 提供者:zhouming
  1. led_test

    0下载:
  2. LED测试程序工程文件,VHDL代码,在Quartus II 6.0中测试通过。-led vhdl test programe in Quartus II
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:170816
    • 提供者:程光
  1. cnt_test

    0下载:
  2. 用Quartus ii 6.0开发的计数器工程文件,用VHDL语言编写-Counter programe used in VHDL,devlopment tool:Quartus ii 6.0
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:159364
    • 提供者:程光
  1. tt

    1下载:
  2. 在Quartus中实现256的RAM(经过实际的应用验证).rar-Realized in the Quartus 256 RAM (after the actual application of verification). Rar
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:155198
    • 提供者:乐文锋
  1. EDA

    0下载:
  2. 熟练使用vhdl语言,以及介绍了quartus和仿真软件,具体事例-Vhdl skilled use of language, and introduced quartus and simulation software, specific examples
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-23
    • 文件大小:443776
    • 提供者:
  1. QuartusII

    0下载:
  2. Quartus+II+中文教程 Quartus+II+中文教程-Quartus+II+中文教程
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3099425
    • 提供者:刘泽栋
  1. nios_shi

    0下载:
  2. 由nios ii实现的,用cfi flash与SDRAM共同实现的电子数字时钟,基于sopc的嵌入式代码,所用软件都是9.0版本的,包括quartus ii9.0 和nios ii9.0-Achieved by the nios ii, together with the cfi flash with SDRAM to achieve the electronic digital clock, based on sopc embedded code, the software is versio
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-25
    • 文件大小:8525128
    • 提供者:liyu
  1. VEDA7LED

    0下载:
  2. 采用QUARTUS II 7.2 (32-BIT)工具实现的两位7段数码管动态扫描显示的VHDL程序。硬件电路采用8位拨位开关控制,高四位控制左数码管,第四位控制右数码管。芯片采用EP1C6T144FPGA器件。-By QUARTUS II 7.2 (32-BIT) tools to achieve the two 7-segment digital tube dynamic scan showed the VHDL program. 8-bit hardware with dial-bit s
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:445843
    • 提供者:yljhs
  1. DAC0832

    0下载:
  2. 介绍了DA的vhdl语言.在quartus环境中-da vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:33467
    • 提供者:cc
  1. QuartusIITimequest

    0下载:
  2. 关于quartus中的Timequest Timing analyzer的讲解PPT,由Altera提供-About quartus in Timequest Timing analyzer' s explanation PPT, provided by the Altera
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1096104
    • 提供者:张飞
  1. FFT

    0下载:
  2. 用VHDL语言建立了quartus工程,可进行dsp处理-VHDL dsp
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1771
    • 提供者:cc
  1. DDS_GEN

    0下载:
  2. Functional Generator in DDS AD9953 (AD9954) Freq.: 1Hz....30MHz Out.: 2mV....2V Files: Project SCH&PCB - ORCAD 9.2 QUARTUS SRC for EPM570T100C5 IAR C SRC for AT91SAM7S64
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-05-15
    • 文件大小:3663027
    • 提供者:Vlad
« 1 2 ... 32 33 34 35 36 3738 39 40 41 42 ... 50 »
搜珍网 www.dssz.com