CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - quartus

搜索资源列表

  1. wishbone

    0下载:
  2. wishbone IP CORE Verilog quartus-wishbone IP CORE Verilog quartusii
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:12868
    • 提供者:thegreeneyes
  1. DE2_70_VGA

    0下载:
  2. 在Quartus中,用de2-70开发板下载实现视频图像处理!很值得认真学习!-In the Quartus in development board with the de2-70 image processing for video downloads! Is worthy of serious study!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:4883
    • 提供者:tracy
  1. I2C_bus

    0下载:
  2. 对I2C总线的简单操作,利用VHDL语言编写,在Quartus 8.1环境下测试通过,可以建立波形文件做仿真实验-very simple code foe I2C bus operation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:473907
    • 提供者:youungsky
  1. disaplay_love

    0下载:
  2. 点阵显示桃心,利用VHDL语言编写,在Quartus 8.1环境下测试通过,可以建立波形文件做仿真实验-display love ,very funny
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:189971
    • 提供者:youungsky
  1. lcd_display

    0下载:
  2. 对LCD的简单操作,利用VHDL语言编写,在Quartus 8.1环境下测试通过,可以建立波形文件做仿真实验.液晶初学者必看-LCD operation ,just for the beginner of LCD.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1170902
    • 提供者:youungsky
  1. PS_2_KEY

    0下载:
  2. 对PS2接口键盘的简单操作,利用VHDL语言编写,在Quartus 8.1环境下测试通过,可以建立波形文件做仿真实验-source code for PS2 keyboard
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:58212
    • 提供者:youungsky
  1. statemation-for-PWM-

    0下载:
  2. 基于状态机对步进电机的操作,利用VHDL语言编写,在Quartus 8.1环境下测试通过,可以建立波形文件做仿真实验-stepper motor based on ststemation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:212419
    • 提供者:youungsky
  1. quartusii_handbook

    0下载:
  2. file contains the information about the quartus II tool
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-02
    • 文件大小:13884345
    • 提供者:r
  1. fpga

    1下载:
  2. TS流接收机上用的FPGA代码主要是把并行的TS流转成串行的ASI借口-TS stream FPGA code on the receiver is mainly used to flow into parallel serial ASI TS excuse
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1662258
    • 提供者:LIUSHIJUN
  1. sdram_sv

    0下载:
  2. sdram在quartus下的VerilogHDL描述,准确的是SystemVerilog,已调试成功,不过还没利用突发传输功能,内含modulesim的仿真文件。-sdram VerilogHDL under the quartus descr iption is accurate SystemVerilog, has been commissioning successful, but not using burst transmission, the simulation file con
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-18
    • 文件大小:4944804
    • 提供者:Anthony
  1. count10

    0下载:
  2. 在quartus环境下,开发的一个10位的计数器,性能可靠,可用作初学者的学习-Quartus environment in the development of a 10-bit counter, reliable performance, can be used for beginners to learn
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:252237
    • 提供者:jim
  1. Auto_elect_ticket_machines

    0下载:
  2. 数字逻辑的自动电子售票机的quartus编程。-Digital logic quartus automatic electronic vending machine programming.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:15098
    • 提供者:刘权
  1. sinbo

    0下载:
  2. 基于quartus,用VHDL写的正弦波发生器-Based quartus, written in sine wave generator with VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:996110
    • 提供者:郑生
  1. LCD

    0下载:
  2. 基于altera cyclone3芯片,quartus软件lcd显示-lcd display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1471068
    • 提供者:aaa
  1. rdresult

    0下载:
  2. fpga 基础实验 代码 常用 附有quartus电路图 -fpga code commonly used in basic experimental schematic
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:149417
    • 提供者:
  1. quartusii_v10.1_handbook

    0下载:
  2. QuartusII 10.1的使用手册,非常详细,解决各种问题-QuartusII 10.1 user manual is very detailed and solve problems
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-03
    • 文件大小:15683179
    • 提供者:张晓龙
  1. 32Kfft

    0下载:
  2. 32KFFT例程,适用于Quartus II 5.0 or later。- This design example requires the following software package: o Quartus II 5.0 or later o FFT MegaCore v2.1.3 o ModelSim version 6.0 or later
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:998790
    • 提供者:J
  1. liangzhu

    0下载:
  2. 用Verilog语言编写梁祝歌曲,用quartus编译文件-Butterfly Lovers with Verilog language songs, compiled files with quartus
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:409612
    • 提供者:成语
  1. Timer

    0下载:
  2. 计时器的设计,在Quartus II上运行通过,FOR NJU Cser。使用了signaltap-The design of the timer, run by the Quartus II, FOR NJU Cser. Used signaltap
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1926318
    • 提供者:戴连鹏
  1. Counter

    0下载:
  2. 计时器的设计,在Quartus II上运行通过,简单易用,主要是For NJU CSers-The design of the timer, run by the Quartus II, easy to use, mainly For NJU CSers
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:824185
    • 提供者:戴连鹏
« 1 2 ... 38 39 40 41 42 4344 45 46 47 48 49 50 »
搜珍网 www.dssz.com