CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - saomiao

搜索资源列表

  1. saomiao

    0下载:
  2. 基于C寓语言编写的一个4*4键盘的扫描程序-Yu C language based on a 4* 4 keypad scanner
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-29
    • 文件大小:35813
    • 提供者:tangyu
  1. saomiao

    0下载:
  2. 51单片机的8段数码管动态扫描,可以显示日期20100508,-51 MCU 8 digital tube dynamic scanning, can show the date 20100508,
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:4985
    • 提供者:郝春金
  1. saomiao

    0下载:
  2. 单片机矩阵扫描仿真,包含源代码,仿真图等,是一个很有用的程序,很适合初学者-Matrix scanning microcomputer simulation, including source code, simulation map is a useful program, it is suitable for beginners
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-02
    • 文件大小:78583
    • 提供者:y
  1. saomiao

    0下载:
  2. verilog源代码,实现四个数码管蛇形循环显示-verilog source code, snake-like loop realization of the four digital displays
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:31097
    • 提供者:even
  1. liushuideng-saomiao

    0下载:
  2. 利用动态扫描和定时器1在数码管上显示 出从765432开始以1/10秒的速度往下递减 直至765398并保持显示此数,与此同时利 用定时器0以500MS速度进行流水灯从上至 下移动,当数码管上数减到停止时,实验 板上流水灯也停止然后全部开始闪烁,3秒 后(用T0定时)流水灯全部关闭、数码管上 显示出"HELLO"。到此保持住。 设晶振频率为12MHz。-The use of dynamic scanning and Timer 1 in the digital d
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:19002
    • 提供者:欧阳杨
  1. saomiao

    0下载:
  2. 利用VHDL编写而成的具有键盘扫描功能程序。-Written in VHDL with the use of the keyboard scanning process.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2254806
    • 提供者:
  1. saomiao

    0下载:
  2. 单片机点阵数码管顺序动态扫描程序-自带字符库-Single-chip dot matrix digital tube sequential dynamic scanning program- comes with character library
  3. 所属分类:SCM

    • 发布日期:2017-04-17
    • 文件大小:25109
    • 提供者:小朱
  1. 4x5key-saomiao

    0下载:
  2. 4x5 键盘扫描程序,适用于9键 4x5扫描-4x5 键盘扫描程序
  3. 所属分类:SCM

    • 发布日期:2017-04-07
    • 文件大小:33493
    • 提供者:lishuyi
  1. saomiao

    0下载:
  2. 用VHDL语言编写的行列式键盘扫描程序,已经调试可用,希望对用到键盘的同学有所帮助。-Determinant keyboard scanning procedures, already using VHDL language debugging can be helpful to students to use the keyboard.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-22
    • 文件大小:125376
    • 提供者:吴达
  1. saomiao

    0下载:
  2. QuartusII平台下verilog语言实现的数码管动态扫描-The verilog language digital QuartusII platform tube dynamic scan
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-19
    • 文件大小:3483
    • 提供者:FantasyDR
  1. saomiao

    0下载:
  2. 扫描枪的驱动。可以给扫描枪添加驱动程序让扫描枪得以在电脑上面运行-Scan guns driver. Add the driver to scan gun to scan gun to run in the computer above
  3. 所属分类:SCM

    • 发布日期:2017-12-03
    • 文件大小:80700
    • 提供者:聂帅
  1. chengxu

    0下载:
  2. 数控直流电源 jianpan saomiao lcd xianshi-shu kong zhi liu dian yuan
  3. 所属分类:Other Embeded program

    • 发布日期:2017-11-23
    • 文件大小:1741
    • 提供者:lanzhuo
  1. saomiao

    0下载:
  2. 基于vhdl语言的数码管动态扫描显示程序代码,同时加有数码管闪烁,超欠量程的led灯显示报警附加动能-Vhdl language-based digital control of dynamic scanning display program code, while adding a digital tube flashes, over and under range of led lights display alarm additional kinetic energy
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:1011
    • 提供者:郭悦
  1. saomiao

    0下载:
  2. 觉得郭天祥的动态扫瞄纠结!就自己编的一个动态扫描!-Think Guo Tianxiang dynamic scan struggle! Is made up of a dynamic scanning yourself!
  3. 所属分类:SCM

    • 发布日期:2017-04-11
    • 文件大小:896
    • 提供者:童话Bu说话
  1. traffic-light

    0下载:
  2. (1) Divid 模块:1Hz 分频模块,开发板提供50MHz 的系统时钟,而该设计交通灯 转换以秒为计时单位,对50MHz 分频得到1Hz 脉冲信号。 (2) Divid_200 模块: 200Hz 分频模块,用于产生动态扫描模块的时钟。一个数码管 稳定显示要求的切换频率要大于50Hz,那么4 个数码管则需要50×4=200Hz 以上 的切换频率才能看到不闪烁并且持续稳定显示的字符,因而扫描频率设定为 200Hz。 (3) Control 模块:A、B 方向红绿灯控制
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:533220
    • 提供者:panda
搜珍网 www.dssz.com