CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - seven segment

搜索资源列表

  1. ex4-4

    0下载:
  2. 这个程序利用89S51控制一颗共阳七段显示器-89S51 to control the use of this procedure a total of Yang Seven-Segment Display
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:7120
    • 提供者:小志
  1. ex4-5

    0下载:
  2. 这个程序利用89S51控制一颗共阳七段显示器-89S51 to control the use of this procedure a total of Yang Seven-Segment Display
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-07
    • 文件大小:8121
    • 提供者:小志
  1. dny_brd1

    0下载:
  2. 3 simple AVR assembler code to use seven segment display. These 3 codes realy simple way using seven segment display. these are using in my microprocessor classes. Wert deney1.asm -> no scan Wert Deney2.asm -> Scan but BCD Werrt Deney3.a
  3. 所属分类:SCM

    • 发布日期:2017-04-05
    • 文件大小:9046
    • 提供者:cooluser
  1. VerilogHDL_code

    0下载:
  2. 几个常用的接口实验的程序代码,用Verilog HDL语言编写的,包括七段数码管、拨码开关、蜂鸣器、矩阵键盘、串口、I2C、跑马灯等。-Some commonly used experimental procedures for the interface code, using Verilog HDL language, including Seven-Segment LED, DIP switch, buzzer, matrix keyboard, serial, I2C, marquees
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1603267
    • 提供者:shsh
  1. 16bit_display8bitLED

    0下载:
  2. Abstract七段显示器在DE2可当成Verilog的console,做为16进位的输出结果。Introduction使用环境:Quartus II 7.2 SP1 + DE2(Cyclone II EP2C35F627C6)简单的使用switch当成2进位输入,并用8位数的七段显示器显示16进位的结果。-Abstract Seven-Segment Display as Verilog to DE2 at the console, as 16 of the output binary. In
  3. 所属分类:SCM

    • 发布日期:2017-04-15
    • 文件大小:6756
    • 提供者:王媛媛
  1. Seven-Segment-LED

    0下载:
  2. 七段数码管按键识别,按下1键显示1,按下2键显示2-Seven-Segment LED identification keys, press the 1 key to show the 1, press 2 to display 2
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:236216
    • 提供者:蔡宇佳
  1. SEVEN_SEGMENT_DISPLAY

    1下载:
  2. keil下,c8051f020七段数码管显示程序-keil under, c8051f020 Seven-Segment LED display program
  3. 所属分类:SCM

    • 发布日期:2017-04-05
    • 文件大小:29661
    • 提供者:skyblue
  1. display

    0下载:
  2. vhdl,七段数码管驱动程序,完成数字显示功能-vhdl, seven-segment digital tube driver, complete the digital display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:86285
    • 提供者:王晓虎
  1. ex4-10

    0下载:
  2. 程序描述:这个范例说明如何使用8051,以及汇编语言的程序连结, 当我们按下向上数的按钮之后,程序就会把0到9的共 阳7段显示器字型码存入AT93C46;如果我们按下向下 数的按钮之后,程序就会把9到0的共阳7段显示器字 型码存入AT93C46。 程序每一次重新开始执行时(Power on 或是Reset),8051 会先从AT93C46当中读出最前面的10个位组,然后 就反复地将这10个位组的数据经由PORT 1送到1个 共阳七段显示器。*/-Procedur
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:16679
    • 提供者:luheshan
  1. Seven-Segment-Decoder

    0下载:
  2. 用VHDL语言在FPGA上实现将十进制bcd码转换成七段led显示码-FPGA using VHDL language to achieve will be converted to decimal bcd yards led seven segment display code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:533
    • 提供者:吴金通
  1. calculator

    0下载:
  2. 课设一个,又臭又长,是一个用verilog编写的计算器,对应革新科技的某个sopc开发平台,键盘会扫描,七段二极管会译码且是并行输出,上传的是整个工程,在该开发平台上基本正常,主程序段编写的较为幼稚,希望大家多多扔玉。注:主程序段预计做八位计算器,后来因为实验平台只有六个数码管无奈之下后两位没接,主程序中的ac有问题,在开发平台上没效果,压缩包里的图是主程序在quartus下的仿真图,开发环境是quartus,不知应选哪项。最后:初次上传欢迎指正 -Set up a class, but als
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-28
    • 文件大小:10809099
    • 提供者:raven
  1. 7segmentLED

    0下载:
  2. 7段数码管显示源代码。基于VHDL语言,实现对7段数码管显示。-7 segment LED display source code. Based on the VHDL language, achieving seven segment LED display.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:3422
    • 提供者:xiaokun
  1. qiduanLED

    0下载:
  2. 此代码是单片机上实现七段数码管显示的全部程序-This code is a single chip to achieve seven-segment digital display of all procedures
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:211093
    • 提供者:sky
  1. digital_clock

    0下载:
  2. 数字电子钟,用8051单片机实现,在七段数码管上可以显示时间,日期等 还可以进行时间日期的调整 -Digital electronic clock, with 8051 MCU, the seven-segment digital tube can display time, date, time, etc. can also be used to adjust the date
  3. 所属分类:SCM

    • 发布日期:2017-04-07
    • 文件大小:2460
    • 提供者:陆树军
  1. 7seg-very-big

    0下载:
  2. seven segment dispaly ... this project is a smart method to interface seven segments with a minimum required pins of microcontroller ... this code written in mikroc and we use PIC microcontroller and shift registers used to take the data serially for
  3. 所属分类:Other Embeded program

    • 发布日期:2017-03-23
    • 文件大小:57537
    • 提供者:ahmad
  1. vhdl-program-for-seven-segment-display

    0下载:
  2. seven segment code using vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:612
    • 提供者:chhavi
  1. Multi-seven-segment

    0下载:
  2. combine 5 seven segment at a time
  3. 所属分类:Other Embeded program

    • 发布日期:2017-03-28
    • 文件大小:16899
    • 提供者:prateek
  1. single-seven-segment-(CA)

    0下载:
  2. single seven segment common anode program
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-26
    • 文件大小:58225
    • 提供者:sathi
  1. seven-segment-LED-display-circuit

    0下载:
  2. 利用FPGA编程-------实现“七段LED显示电路设计”-FPGA programming------- achieve the seven-segment LED display circuit design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:293294
    • 提供者:初昀
  1. seven-segment-LED-display

    0下载:
  2. VHDL实验:七段LED显示电路设计。VHDL语言编写-VHDL experiment: seven-segment LED display circuit design. VHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-10
    • 文件大小:178160
    • 提供者:奚传立
« 12 3 4 5 6 7 8 9 10 ... 25 »
搜珍网 www.dssz.com