CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - shaping filter

搜索资源列表

  1. IS-95/CDMA2000基带成形滤波器的实现

    0下载:
  2. IS-95/CDMA2000基带成形滤波器的实现 IS-95滤波器的实现: 本次设计采用转置型结构,并用展开技术将字串行架构转换成字并行处理架构,从而提高运行的速度。本次设计中采用展开因子J=4的展开转换技术。设输入数据为filter_in,输出数据为filter_out,则其展开因子J=4的并行处理系统如下图所示 ,IS-95/CDMA2000 base-band filter shaping to achieve IS-95 filter to achieve: the desig
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-24
    • 文件大小:91398
    • 提供者:
  1. 48taps_fir

    0下载:
  2. 成形滤波可以在调制后对调制波以带通滤波方式完成,也可以在调制前对基带以低通滤波方式完成,两者的效果是相同的。在现代全数字调制解调器中,成形滤波器大都采用数字滤波器来实现。由于对基带信号进行数字滤波更为方便,因此成形滤波普遍采用基带数字滤波方案。-Shaping filter can be modulated by the modulation wave band-pass filtering is accomplished, it can before the modulation baseba
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:92498
    • 提供者:尤恺元
  1. 52252276494

    0下载:
  2. 基于多相结构的内插脉冲成形滤波器的DSP 实现-Based on the multiphase structure of interpolation pulse shaping filter DSP realization
  3. 所属分类:DSP program

    • 发布日期:2017-04-17
    • 文件大小:183479
    • 提供者:小伟
  1. fir_512_378_mux

    0下载:
  2. 512阶高速FIR成型滤波器,四相位复用,树形加法和多级流水线结构。-512-order high-speed FIR shaping filter, four-phase re-use, tree addition and multi-stage pipeline structure.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:29569
    • 提供者:johnnyz
  1. SpreadShaping

    0下载:
  2. 直接序列扩频+成型滤波,将编码后数据进行256倍扩频,再按照4倍内插根升余弦成型滤波,最后成形滤波后按4路并行输出,以满足并行输入DA的要求。-Direct Sequence Spread Spectrum+ shaping filter, the encoded data 256 times more spread spectrum, and then interpolated according to four times the root raised cosine shaping fil
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:723222
    • 提供者:袁磊
  1. Study_on_Key_Technologies_of_n4-DQPSK_Modulation_a

    0下载:
  2. 本文首先研究可4一DQPsK调制解调系统中调制部分的基本原理和各个模块的设计方案,重点研究成形滤波器和直接数字频率合成器 (DireetoigitalFrequeneySynihesis,简称DDS),并针对各个关键模块算法进行matlab设计仿真,展示仿真结果。其次,研究调制解调系统解调部分的基本原理和各个模块的设计方案,重点研究差分解调,数字下变频和位同步算法,也针对其各个关键模块进行算法的Matlab设计仿真。然后用Matlab对整个系统进行理论仿真,得出结论。在此基础 上,采用超高速
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-19
    • 文件大小:5458230
    • 提供者:cai
  1. AD9857_b

    0下载:
  2. 芯片AD9857资料,英文版,此芯片可以实现基带处理,成型滤波,上变频等功能,希望对大家有用 好好看哦-Chip AD9857 information, in English, this chip can achieve baseband shaping filter, the frequency and other functions, we hope to be useful well Kane
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:539401
    • 提供者:欧阳凯
  1. Digital-filter-design

    0下载:
  2. 数字成形滤波器设计及FPGA实现 本文对数字基带信号脉冲成型滤波的应用、原理及实现进行了研究。-Digital filter design and FPGA realization of forming this paper, the digital baseband signal pulse shaping filter applications, principle and implementation were studied.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:657896
    • 提供者:rei
  1. FPGASquare-RootRaised-CosineFilter

    0下载:
  2. 数字通信系统中, 基带信号的频谱一般较宽, 因此 传递前需对信号进行成形处理, 以改善其频谱特性,使 得在消除码间干扰与达到最佳检测接收的前提下,提高信道的频带利用率。目前,数字系统中常使用的波形成形滤波器有平方根升余弦滤波器、 高斯滤波器等。设计方法有卷积法或查表法, 其中: 卷积法的实现,需要消耗大量的乘法器与加法器,以构成具有一定延时的流水线结构。为降低硬件消耗,文献提出了一种分-FPGA Implementation of Square Root Raised Cosine Pu
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:188891
    • 提供者:xing
  1. filter_lpm_shaping

    0下载:
  2. 4倍内插值的fir成型滤波器,语言vhdl,工程已建立,可以直接运行-4x interpolation of fir shaping filter, language vhdl, project has been established, you can directly run
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-10
    • 文件大小:16976361
    • 提供者:chen
  1. interpolation_shaping_filter

    0下载:
  2. 内插成型滤波器的FPGA实现,可根据需要配置不同的内插倍数,Quarter II环境编译,可直接使用-Interpolation shaping filter FPGA, can be equipped with different interpolation factor, Quarter II compiler environment, can be used directly
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1932194
    • 提供者:slm
  1. shape

    0下载:
  2. 基于FPGA的成型滤波器的代码,里面内附激励文件,使用verilog编写-FPGA-based shaping filter code, which included incentives files using verilog write
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-09
    • 文件大小:1862888
    • 提供者:刘先生
  1. sin

    0下载:
  2. 用VHDL语言编写实现以下功能:用PLL,复位器,分频器,同步时钟,计数器来产生正弦波,再在其上加扰,用FIR滤波器进行滤波整形,最后得到输出。-Using VHDL language to achieve the following functions: PLL, reset, clock synchronization, frequency divider, counter to generate sine wave, and then scrambling on the filter sh
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-23
    • 文件大小:6779780
    • 提供者:猪头
  1. sj

    0下载:
  2. 此文件为matlab的simulink仿真简单通信模型,使用高斯信道,包括信源编码、信道编码、成型滤波、带通调制等等模块-This file is a simple communication matlab simulink simulation model using Gaussian channel, including source coding, channel coding, shaping filter, band-pass modulation module etc.
  3. 所属分类:HardWare Design

    • 发布日期:2017-05-05
    • 文件大小:72261
    • 提供者:胡文昱
  1. FIR_lowpass

    0下载:
  2. 一个FIR低通滤波器的fpga源码,可以应用于通信调制成型滤波器参考代码-A FIR low-pass filter in the fpga source code, can be used in the communication reference code modulation shaping filter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:
    • 文件大小:802
    • 提供者:右下角
  1. interpolate4

    0下载:
  2. 调制信号后4倍内插的verilog代码,用于基带成型滤波器输入数据-4 times after modulation signal interpolation verilog code, used to baseband shaping filter input data
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-12
    • 文件大小:528
    • 提供者:右下角
  1. BPSK

    0下载:
  2. BPSK信号的载波调制,包含成型滤波器,上采用器以及载波生成器。(This file provides a transmitter based on BPSK signal, including shaping filter, upsampler and carrier generator.)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2017-12-20
    • 文件大小:12921856
    • 提供者:wangke
搜珍网 www.dssz.com