CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - synchronous counter vhdl

搜索资源列表

  1. syncup_dn

    0下载:
  2. VHDL CODE FOR SYNCHRONOUS UP/DOWN COUNTER
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:834528
    • 提供者:pinky
  1. SyncounterFinal

    0下载:
  2. 在Xillinx ISE 平台上利用VHDL语言实现同步计数器,利用状态机实现,导入FPGA版点亮7段数码管并实现加、减计数功能。-The programme realizes a counter based on synchronous state machines, and it can be download to a FPGA chip.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-05-07
    • 文件大小:1499311
    • 提供者:木白
« 1 2»
搜珍网 www.dssz.com