CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - traffic verilog

搜索资源列表

  1. verilog_program

    0下载:
  2. 各种初学Verilog者需要练习的实例代码集锦,包含加法器,BCD计数器,2分频,交通灯等等!-Beginners need to practice a variety of examples of Verilog code highlights, including the adder, BCD counters, 2 frequency, traffic lights and more!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:32363
    • 提供者:lyh
  1. Verilog_traffic_control

    0下载:
  2. verilog,交通灯控制器,包括左/右拐,红、黄、绿灯。-verilog, traffic light controllers, including the left/right, red, yellow, green.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:985
    • 提供者:世海
  1. traffic_control

    0下载:
  2. verilog语言实现的交通灯控制程序,能同时对两个方向的交通进行控制-it is a traffic control program that control two way traffic, written in verilog language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1764
    • 提供者:胡丹
  1. hdl

    0下载:
  2. ACTEL FPGA 交通灯,Verilog描述-ACTEL FPGA traffic lights, Verilog descr iption
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:5655
    • 提供者:gouyouwen
  1. traffic_lights

    0下载:
  2. Verilog 语言实现的红黄绿交通灯程序,编译成功,为全工程文件,可以直接打开运行-Verilog language of the red yellow and green traffic lights program, compile successfully, for the whole project file, you can directly open the run
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:216384
    • 提供者:李丽丽
  1. verilog_code

    0下载:
  2. Verilog code for Traffic Light Controller, Real Time Clock
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:15527
    • 提供者:Vinodh
  1. jtd

    0下载:
  2. verilog编写的交通灯程序。内有波形仿真-traffic light program written in verilog. There waveform simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:371106
    • 提供者:
  1. traffic-light

    0下载:
  2. 本代码是用verilog HDL编写,实现的是十字路口交通灯的控制-The code is written using verilog HDL, to achieve the control of traffic lights crossroads
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:251106
    • 提供者:西蟀
  1. traffic_led(verilog)

    0下载:
  2. 交通灯verilog源码,在实验板上测试通过-Verilog source of traffic lights, the board tested in experiment
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:2566
    • 提供者:强人
  1. Verilog-HDL

    0下载:
  2. 包括交通灯控制等的Verilog HDL源程序 不是自己编的 是一本书里带的例程 很不错-Including Traffic Lights Control code, very impressive,enjoy sharing with you
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-31
    • 文件大小:13457989
    • 提供者:Alice
  1. trafficlight

    0下载:
  2. 基于FPGA的交通的verilog语言设计,包括红黄绿三种灯,东西为一个方向,南北为一个方向,分别有倒计时-FPGA-based traffic verilog language design, including the red yellow and green three lights, something in one direction, a north-south direction, respectively, the countdown
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:8727
    • 提供者:柳沐璇
  1. traffic-light-FSM

    0下载:
  2. 在ISE环境下用Verilog代码分别用一段式和三段式来实现交通灯,并产生仿真波形。-In the ISE environment, were used in Verilog code to implement a three-stage type and traffic lights, and generate the simulation waveforms.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1829350
    • 提供者:xuwen
  1. traffic

    0下载:
  2. 用verilog语言实现交通控制灯的功能,基于spartan3E开发板。-Verilog language with light traffic control functions, based on spartan3E development board.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:1063
    • 提供者:messi
  1. traffic

    0下载:
  2. 基于FPGA的交通灯实现verilog语音-FPGA-based voice traffic lights to achieve verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:577117
    • 提供者:Zhangyan
  1. verilog_jiaotongdeng

    0下载:
  2. 为Verilog Hdl代码,实现交通灯系统每个路口每次绿灯维持的时间是40 秒,黄灯为5 秒 ,左转灯10秒,红灯60秒-Code for the Verilog Hdl, to achieve traffic light system to maintain each intersection green time for each 40 seconds, yellow for 5 seconds, turn left at light for 10 seconds, the red lig
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:147972
    • 提供者:张树威
  1. traffic-light

    0下载:
  2. 实现双向交通灯控制的Verilog HDL代码-Verilog HDL code to control bi-direction traffic light
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1300
    • 提供者:吴原远
  1. Traffic-Light-Controller

    0下载:
  2. verilog语言实现交通指示灯的设计,红绿黄灯亮的时间间隔不同,由时钟控制。-Verilog language realization the design of the traffic lights
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:19912
    • 提供者:zhangxina
  1. traffic-light

    0下载:
  2. 使用verilog实现的简单交通灯控制程序,只是实现的红绿黄灯定时。-traffic light control circuit。however,just including red,green,yellow light
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:1129
    • 提供者:konglingjun
  1. traffic

    0下载:
  2. verilog hdl a simple program for traffic light. for three lights, green, yellow and red-verilog hdl a simple program for traffic light. for three lights, green, yellow and red
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1476157
    • 提供者:shisaiyu
  1. Traffic-control

    0下载:
  2. 交通灯控制,采用Verilog语言实现,有测试程序-Traffic control, the Verilog language implementation, testing program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:116293
    • 提供者:wu
« 1 23 4 5 6 7 »
搜珍网 www.dssz.com