CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - uvm验证

搜索资源列表

  1. uvm-1.0p1.tar

    0下载:
  2. Cadence 公司推出的高级验证语言,验证方法学开源-Cadence s introduction of an advanced verification languages, verification methodology open source
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2744218
    • 提供者:李阳
  1. uvm-1.1d.tar

    1下载:
  2. UVM World 官方发布的UVM(通用验证方法学)的源代码,基于SystemVerilog,用于ASIC Verification。2013-03最新发布版本uvm-1.1d.tar.gz-The UVM World official release of the source code of the UVM (Universal Verification Methodology), based on SystemVerilog for ASIC Verification. 2013-03
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-09
    • 文件大小:3214600
    • 提供者:吴杉
  1. uvm

    0下载:
  2. UVM验证平台的介绍,在验证方面效率由于systemverilog。-UVM verification platform introduced in verification efficiency due systemverilog.
  3. 所属分类:DSP program

    • 发布日期:2017-05-06
    • 文件大小:1098121
    • 提供者:孙鹏
  1. uvm_switch_8

    1下载:
  2. 使用uvm验证环境搭建的testbench,主要验证switch的功能。可以学习uvm的简单功能-use uvm set up testbench ,the mainly focuse is verification swtich,you can learning uvm sample fucntion
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:61440
    • 提供者:田波
  1. uvm-1.1

    1下载:
  2. 学习IC验证的好资料,包括UVM-1.1a和UVM-1.1d的全部工程example,适合IC验证基于UVM平台的初学者。-Learn good about IC verification, including all engineering of UVM-1.1a and UVM-1.1d, for beginners based on the UVM platform for IC verification.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-12
    • 文件大小:7225850
    • 提供者:李菲
  1. uart2bus_testbench_latest.tar

    0下载:
  2. uart2bus_testbench,uart测试平台,主要运用uvm验证方法学,对uart接口、systemverilog和uvm等ic开发和验证有一个初步了解和掌握。-Uart2bus_testbench, uart test platform, the main use of uvm validation methodology, uart interface, systemverilog and uvm ic development and verification have a pre
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-15
    • 文件大小:1011712
    • 提供者:徐伟升
  1. crc7

    0下载:
  2. 以crc7为例进行UVM的验证 Part 1: 搭建环境。 本文使用的Quartus II 13.1(64 bit),器件库MAX V。写了一个Verilog的简单的crc7。 仿真环境是ModelSim 10.2c。虽说自带UVM库。但是,没找到Modelsim自带的uvm_dpi.dll,于是,还重新编译了一番。 本文在win 10下。下载uvm-1.1d(现在最新版本有1.2d了),放好。(crc7 code by system verilog language)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-22
    • 文件大小:9063424
    • 提供者:viviergan
  1. apb uvm验证testbench

    2下载:
  2. 一个apb的uvm验证uvc,可以寄经过简单修改,建立testbench,非常便利,需要在uvm验证环境中搭建uvm验证平台
  3. 所属分类:微处理器(ARM/PowerPC等)

  1. uvm实战源码

    6下载:
  2. uvm实战教程源码,丰富的uvm demo testbench,可以学习uvm各个阶段的testbench搭建技巧,能学习到大量的uvm testbench搭建技能,比如factory和寄存器模型等重要机制,非常值得学习
  3. 所属分类:微处理器(ARM/PowerPC等)

  1. UVM验证平台搭建

    1下载:
  2. 搭建uvm验证平台,通用验证平台结构和搭建流程介绍(How to build a common UVM verification platform?An easy and useful method is instroduced here.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2019-09-20
    • 文件大小:155648
    • 提供者:cicighy
  1. SPI_UVM_VIP

    5下载:
  2. SPI协议的芯片验证VIP,用UVM搭建平台验证代码(Chip verification VIP of SPI protocol, build platform verification code with UVM)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-05-01
    • 文件大小:5477376
    • 提供者:lfzero
  1. 01_router_lab_all

    1下载:
  2. 基于UVM平台搭建的验证环境,针对的是路由器router模块,可供参考(The verification environment based on UVM platform aims at router module of router, which can be used for reference)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-11-13
    • 文件大小:30720
    • 提供者:迪哥
  1. 基于ahb总线的sramc设计与验证(SV,uvm)

    15下载:
  2. 基于ahb总线的sramc设计与验证(E课网)
  3. 所属分类:VHDL编程

    • 发布日期:2020-12-04
    • 文件大小:197894
    • 提供者:mikewyt
搜珍网 www.dssz.com