CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - west

搜索资源列表

  1. U51asm

    0下载:
  2. 交通灯: 1. 因为本设计是交通灯的控制,所以要先了解实际交通灯的变化规律。假设一个十字路口为东西南北走向。初始状态0为东西红灯,南北红灯。然后转状态1南北绿灯通车,东西红灯。过一段时间转状态2,南北绿灯闪几次转亮黄灯,延时几秒,东西仍然红灯。再转状态3,东西绿灯通车,南北红灯。过一段时间转状态4,东西绿灯闪几次转亮黄灯,延时几秒,南北依然红灯。最后循环至状态1。 2. 双色LED是由一个红色LED管芯和一个绿色管芯封装在一起,公用负端。当红色正端加高电平,绿色正端加低电平,红灯亮;红色正端加低
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2008-10-13
    • 文件大小:2191
    • 提供者:孙菱蔚
  1. traffic_lights

    2下载:
  2. 1、 南北和东西方向各有一组绿、黄、红灯,绿灯黄灯和红灯的持续时间分别为20秒、5秒和25秒,控制器控制交通灯的颜色按照交通规则进行变化.. 2、 2、用两组数码管,以倒计时方式显示两个方向允许或禁止通行的时间; 3、当有特殊情况(如消防车等)时,两个方向均为红灯,倒计时停止,当特殊情况结束后,控制器应返回原状态,继续正常运行; -a north-south and east-west direction at a group of green, yellow, red, green
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:456595
    • 提供者:东方
  1. nclight

    0下载:
  2. 利用硬件描述语言VHDL设计交通灯电路,设计一个十字路口交通灯控制器,东西、南北方向有红灯、黄灯、绿灯,持续时间分别为45、5、40秒。-use VHDL design of traffic lights at the circuit, the design of traffic lights at a crossroads controller East and West, North-South direction of a red light, yellow light, green li
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:998
    • 提供者:空气
  1. DesignOfRGY_jiaotongteng

    0下载:
  2. 1.初始状态为4个方向的红灯全亮,时间1秒。 2.东、西方向绿灯亮,南、北方向红灯亮。东、西方向通车,时间30秒。 3.东、西方向黄灯闪烁,南、北方向红灯亮。时间2秒。 4.东、西方向红灯亮,南、北方向绿灯亮。南、北方向通车,时间15秒。 5.东、西方向红灯亮,南、北方向黄灯闪烁。时间2秒。 6.返回2,继续运行。 -1. Initial state for four whole direction of the red lights lit up, a se
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:573126
    • 提供者:David
  1. detectformatlab

    0下载:
  2. 了实现采用GPRS(通用分组无线电业务)网进行远距离数据传输,采用Cygnal公司的带有USB(通用串行总线)接口的8051F320单片机和西门子公司的GPRS模-achieve using GPRS (General Packet Radio business) network for remote data transmission, Cygnal used with the USB (Universal Serial Bus) interface to the 8051 F320 micr
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:344958
    • 提供者:lkw
  1. USBdriverdesign

    0下载:
  2. USB通信程序开发(付DOC说明 论文 源码) 是毕业设计内容 是好定西-USB Communication Development (DOC pay note papers FOSS) is a graduate design is a good set West
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2008-10-13
    • 文件大小:2109689
    • 提供者:yangyi
  1. 11

    0下载:
  2. 具有固定的“红灯—绿灯”转换间隔,并自动切换。它们一般由“通行与禁止时间控制显示、红黄绿三色信号灯和方向指示灯”三部分组成。在交通灯的通行与禁止时间控制显示中,通常要么东西、南北两方向各50秒;要么根据交通规律,东西方向60秒,南北方向40秒,时间控制都是固定的。交通灯的时间控制显示,以固定时间值预先“固化”在单片机中,每次只是以一定周期交替变化。-having fixed the "red light-green light" switch partitions, and
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:8444
    • 提供者:lala
  1. 急救车与交通灯

    0下载:
  2. 急救车与交通灯)(1)南北与东西方向,每个方面由红黄绿三个灯控制(2)南北向: 红(30秒),黄(5秒),绿(25秒)   东西向: 红(35秒),黄(5秒),绿(25秒)   上述基本参数可以根据实际情况自行调整,灯的变化规律与实际路口规律相同,绿灯在最后5秒钟时,黄灯亮,然后红灯亮,不允许两个方面同时亮绿灯。(3)绿灯的时间显示在数码管上进行显示。(4)可以通过一个开关控制,当开关信号为0时,整个交通灯全灭提高要求:(1)设计一个紧急控制开关信号,当紧急开关信号为1时,两个方向的灯全为红灯。
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1611
    • 提供者:dd
  1. jiaotongdeng.rar

    0下载:
  2. 基于Proteus和Keil C 的交通灯控制,是学习MCS51(8951)单片机和液晶的优秀程序 程序功能:模拟交通灯控制系统 灯:南北方向:红3黄2绿3 东西方向:绿3黄2红3 黄色闪烁2次 键:紧急键,东西一个,南北一个,按下去后保持红色,直到松开键 要 求:定时要采用定时系统实现,Proteus, and Keil C based on the traffic l
  3. 所属分类:SCM

    • 发布日期:2017-05-17
    • 文件大小:5099318
    • 提供者:荣超群
  1. h6ex01

    0下载:
  2. 利用数码管实现交通灯 东西向绿灯亮若干秒后,黄灯闪烁,闪烁5次后红灯亮红灯亮后,南北向由红灯变为绿灯,若干秒后南北向黄灯闪烁闪烁5次后亮红灯,东西向绿灯亮,如此重复-East-west green light for several seconds after the flashing yellow light, red light flashes 5 times after red light, red light into green light from the north-south
  3. 所属分类:SCM

    • 发布日期:2017-03-30
    • 文件大小:23908
    • 提供者:天使
  1. HW_songer_nverqing

    0下载:
  2. 用VHDL编写的播放器,播放西游记插曲《女儿情》,另附编码表WORD档-Using VHDL prepared player, player of Journey to the West episode
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:8221
    • 提供者:王冰
  1. t9

    0下载:
  2. 用DSP2812实现的硬件音乐播放器,播放西游记插曲《女儿情》-DSP2812 hardware used to achieve music player, the player of Journey to the West episode
  3. 所属分类:DSP program

    • 发布日期:2017-04-06
    • 文件大小:67588
    • 提供者:王冰
  1. danpianji

    0下载:
  2. 此十字路口交通灯控制系统,分东西道和南北道,设东西道为A道,南北道为B道。规定:首先,东西路口红灯亮,南北路口绿灯亮,同时开始25s倒计时,以7段数码管显示时间。25s倒计时结束后开始5s倒计时,南北路口的绿灯闪烁,计时到最后2s时,南北路口黄灯亮。完成1次这样的循环需要30s。30s结束后,南北路口红灯亮,东西路口绿灯亮,并重新30s倒计时,依次循环。若有紧急车辆要求通过时,此系统应能禁止普通车辆,而让紧急车辆通过。 -This control system for traffic li
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:3365
    • 提供者:wuwenmig
  1. G3244_44b0

    0下载:
  2. 西铁成的320*240单色G3244液晶屏在44b0下的驱动及测试程序,适合大部分44b0开发板-West Rail into 320* 240 monochrome LCD G3244 under the 44b0-driven and test procedures, 44b0 most suitable development board
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-27
    • 文件大小:365645
    • 提供者:王鹏
  1. jiaotongdeng

    0下载:
  2. 1). 用红、绿、黄三色发光二极管作信号灯。主干道为东西向,有红、绿、黄三个灯;支干道为南北向,也有红、绿、黄三个灯。红灯亮禁止通行;绿灯亮允许通行;黄灯亮则给行驶中的车辆有时间停靠到禁行线之外。 2).由于主干道车辆较多而支干道车辆较少,所以主干道绿灯时间较长。当主干道允许通行亮绿灯时,支干道亮红灯。而支干道允许通行亮绿灯时,主干道亮红灯,两者交替重复。主干道每次放行50秒,支干道每次放行30秒。 在每次由亮绿灯变成亮红灯的转换过程中间,需要亮5秒的黄灯作为过渡,以使行驶中的车辆有时间
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:1935
    • 提供者:靓仔
  1. TrafficLights

    0下载:
  2. 交通灯(加数码管),控制南北灯和东西灯,在数码管有显示,没有加电路图,想要的联系我(因为只是能在电脑上看到效果,与实际上的肯定有差距),使用51单片机,keil开发环境,电路图用的protues,说明这么多够了吧。。。。只是一个简单练习题而已-Traffic lights (add digital control), control of north-south and east-west light lights, in the digital control has shown that t
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:20474
    • 提供者:韩志明
  1. Trafficlight

    0下载:
  2. 实现了南北东西式十字路口的交通灯控制,包括当有急救车通过时,禁止其他的车辆通行-Implementation of the north-south crossroads of East and West-style traffic lights control, including when the ambulance has passed, the prohibition of other vehicles
  3. 所属分类:DSP program

    • 发布日期:2017-04-14
    • 文件大小:3975
    • 提供者:李军
  1. Traffic

    0下载:
  2. 8051初使化 ↓ 四个路口红灯亮 ↓ 东西红灯亮,南北时绿灯亮,延 ↓ 东西红灯亮,南北黄灯闪烁,延时 ↓ 东西绿灯亮,南北红灯亮,延时 ↓ 东西黄灯闪烁,南北红灯亮,延时 -In early 8051 so that the junction of four ↓ ↓ things red light red light, green light, when north-south, east-west extension ↓ red
  3. 所属分类:SCM

    • 发布日期:2017-04-14
    • 文件大小:2860
    • 提供者:还哈
  1. trafficlight

    0下载:
  2. 设计一个十字路口的交通灯控制系统,用实验平台上的LED发光二极管显示车辆通过的方向(东西和南北各一组),用数码管显示该方向的剩余时间。要求:工作顺序为东西方向红灯亮45秒,前40秒南北方向绿灯亮,后5秒黄灯亮。然后南北方向红灯亮45秒,前40秒东西方向绿灯亮,后5秒黄灯亮。依次重复。有紧急事件时允许将某方向一直开绿灯或者开红灯,另外允许特定情况两方向均为红灯,车辆禁行,比如十字路口恶性交通事故时,东西,南北两个方向均有两位数码管适时显示该方向亮灯时间。-Function of the real
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:58883
    • 提供者:方小飞
  1. jiaotong

    0下载:
  2. 1.运用所学的知识设计一个交通灯控制系统。 2.上电的时候南北方向的红灯亮25秒;东西方向的绿灯亮20秒,绿灯秒闪2 秒,黄灯秒闪3秒。再接下来东西方向的红灯亮25秒;南北方向的绿灯亮20秒,绿灯秒闪2秒,黄灯秒闪3秒。如此循环。 -1. Use knowledge to design a traffic light control system. 2. The power of the north-south direction when the red light 25 sec
  3. 所属分类:SCM

    • 发布日期:2017-03-30
    • 文件大小:1885
    • 提供者:王厅
« 12 3 4 5 6 »
搜珍网 www.dssz.com