搜索资源列表
pipeline
- 用Quartus II 设计的3级流水CPU,指令采用二次重叠执行方式-Quartus II design with three-stage pipeline CPU, instruction execution overlaps with the second time
16-bit_cpu_design
- 详细介绍了如何设计一个简单的16位cpu.其中包含了从最基础的指令系统开始到最复杂的cu控制器的设计思路,方案.最后还介绍了一些有关vhdl语言的用法,并给出了具体的cpu部件的vhdl代码,从而帮助大家更为深刻的学习如何设计一个简单的cpu-Described in detail how to design a simple 16-bit cpu. Which contains the most basic instruction from the beginning to the most
cpu
- 16位的5级流水线cpu 采用vhdl代码 modelsim编译仿真-5-stage pipeline 16-bit cpu compiled simulation using modelsim vhdl code
CPU_VHDL
- 这是实现一个8位和16位cpu的VHDL代码,虽然支持的指令比较少只有20多条,但对于学习CPU的布线架构很有帮助-This is achieved by an 8-bit and 16-bit cpu VHDL code, although only a relatively small instruction support more than 20, but for learning routing architecture CPU helpful
lu
- 16位MIPS指令集,VHDL实现,非常简单,非常粗暴(library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all;)