CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 单片机(51,AVR,MSP430等) 搜索资源 - rtl verilog

搜索资源列表

  1. 8051单片机源码verilog版本

    1下载:
  2. 8051单片机源码verilog版本 包括rtl, testbench, synthesis ,Verilog source code version of 8051, including rtl, testbench, synthesis
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2017-03-25
    • 文件大小:509633
    • 提供者:carol
  1. the-verilog-source-code-of-8051-MCU

    0下载:
  2. 8051单片机的源代码,用verilog进行编写,包括测试文件-source code of 8051 MCU
  3. 所属分类:SCM

    • 发布日期:2016-01-22
    • 文件大小:310272
    • 提供者:许伟涛
搜珍网 www.dssz.com