CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 DSP编程 搜索资源 - 引脚

搜索资源列表

  1. dsp1-tms320f2812

    0下载:
  2. 控制XF引脚周期性变化:循环对XF位置1和清0,用示波器可以在XF脚检测到电平高低周期性变化-XF pin periodic change control: cycle 1 and the location of the XF to 0, with an oscilloscope can be detected in the XF pin low level periodic variation
  3. 所属分类:DSP program

    • 发布日期:2017-04-04
    • 文件大小:553
    • 提供者:wdf
  1. dsp2-tms320f2812

    0下载:
  2. 用延时子程序设置较长的延时, *可以用试验板上的LED看到XF引脚电平的变化-Set with a longer latency delay subroutine,* test board can see the XF LED changes pin
  3. 所属分类:DSP program

    • 发布日期:2017-04-03
    • 文件大小:729
    • 提供者:wdf
  1. dspyingjian-fpga

    2下载:
  2. dsp硬件加程序、fpga文档、eda信号引脚对应表等。仅供参考。-dsp hardware encryption program, fpga document, eda signal pin mapping table and so on. For reference only.
  3. 所属分类:DSP编程

    • 发布日期:2014-03-16
    • 文件大小:3502930
    • 提供者:张尅
  1. TMS320VC5402de500Hzfangbo

    0下载:
  2. 使用TMS320VC5402设计一个频率为500Hz的周期方波,要求通过XF引脚输出。-Used to design a frequency of 500Hz TMS320VC5402 cycle square wave, called for the adoption XF pin.
  3. 所属分类:DSP program

    • 发布日期:2017-04-02
    • 文件大小:5182
    • 提供者:陆志光
  1. F2812

    0下载:
  2. 文章对TMS320F2812各引脚进行了介绍,将会对大家编程有所帮助-TMS320F2812 article introduces the pin will be helpful to everyone Programming
  3. 所属分类:DSP program

    • 发布日期:2017-04-16
    • 文件大小:504907
    • 提供者:fangfang
  1. 01_GPIOLed01

    0下载:
  2. 使用PWM1-PWM6引脚作为通用的I/O口来控制Basic2812上LED D1-D6的闪烁。将PWM1-PWM6引脚配置为I/O口,方向为输出。-PWM1-PWM6 use as a common pin I/O port to control the Basic2812 on the flashing LED D1-D6. The PWM1-PWM6 pin is configured as I/O port direction for output.
  3. 所属分类:DSP program

    • 发布日期:2017-04-17
    • 文件大小:216905
    • 提供者:geqingqing
  1. 02_GpioLed02

    0下载:
  2. 将PWM1-PWM6引脚配置为I/O口,方向为输出。在GPIOLed01.pjt的基础上, * 通过使用T1定时器的周期中断,每隔1s改变一次LED灯亮或者灭这种状态 * 所保持的时间,也就是说改变了LED灯闪烁的频率-The PWM1-PWM6 pin is configured as I/O port direction for output. On the basis of the GPIOLed01.pjt,* the timer by using the T1 period i
  3. 所属分类:DSP program

    • 发布日期:2017-04-08
    • 文件大小:227250
    • 提供者:geqingqing
  1. DSPCPLDoukyikyiyi

    0下载:
  2. DSP与CPLD在微机保护与测控系统中的应用,很详细的把DSP和CPLD的连接引脚整得很详细。-DSP and CPLD in the protection and control system in computer applications, it is detailed to the connection pins on DSP and CPLD entire very detailed.
  3. 所属分类:DSP program

    • 发布日期:2017-04-16
    • 文件大小:61814
    • 提供者:烟雨楼
  1. TLV320AIC23and5409

    0下载:
  2. 介绍TI公司的立体声音须CoDEcTLV320A工c23芯片的功能、内部结构、引脚排列 给出通 过DSP串行口配置它所特有的内部控制寄存器的方法,使得设计更加灵活 可以实现和DSP 无缝接口 从硬件和软件两个方面,结合该芯片串行口工作时序图,讨论与TMs32ovc5409 DSP的接口设计。 -Introduce TI' s stereo sound chip c23 be CoDEcTLV320A work function, internal structure, pi
  3. 所属分类:DSP program

    • 发布日期:2017-04-24
    • 文件大小:257099
    • 提供者:ws
  1. fixed--pwm

    1下载:
  2. DSP的GPIO引脚可以输出固定占空比的PWM脉冲,设置参数可以改变脉冲的占空比-DSP GPIO pins can be fixed duty cycle of the PWM pulse output, set the parameters can change the pulse duty cycle
  3. 所属分类:DSP program

    • 发布日期:2015-04-15
    • 文件大小:260096
    • 提供者:钟灵
  1. keyboard_led

    0下载:
  2. dsp时测试7279键盘LED接口的测试程序,将多通道缓存串口1引脚作为通用IO脚用-dsp keyboard driver
  3. 所属分类:DSP program

    • 发布日期:2017-04-03
    • 文件大小:1881
    • 提供者:于健
  1. uart_qudong

    0下载:
  2. 用DSP/BIOS操作系统实现了串口的中断传输,其中的串口中断号要根据自己板子的中断引脚来确定-Use DSP/BIOS operating system realize the serial interrupt transmission
  3. 所属分类:DSP program

    • 发布日期:2017-04-07
    • 文件大小:250806
    • 提供者:shirley
  1. F2812_SPI

    0下载:
  2. F2812 SPI (CCS3.3开发环境下)实验说明:主要硬件部分:DSP,74HC595(串入并出的移位器),共阳数码管。SPIMOSI和SPICLK直接从DSP接到了74HC595的SER和SRCLK,作为数据和时钟信号的输入,SPISTE引脚接到了74HC595的RCLK以控制其选通。 实验结果:可看到数码管从0~F循环显示-F2812 SPI experiment shows: The main hardware components: DSP, 74HC595 (serial shi
  3. 所属分类:DSP program

    • 发布日期:2017-04-04
    • 文件大小:341914
    • 提供者:奋斗不止
  1. F2812_LedFlash

    0下载:
  2. F2812 利用定时器CpuTimer0中断,实现LED亮1s,灭1s。 (CCS3.3开发环境下) 功 能:通过使用CPU定时器来控制LED D3的亮和灭 说 明:D3与引脚XF相连,XF为低电平时,D3亮;XF为高电平时,D3灭。频率为1Hz,即每隔1s钟,D3被点亮。间隔时间由CpuTimer0来控制。-F2812 using timer interrupt CpuTimer0, the LED light 1s, off 1s. Features: CPU timer to contro
  3. 所属分类:DSP program

    • 发布日期:2017-04-06
    • 文件大小:96750
    • 提供者:奋斗不止
  1. interrupt

    0下载:
  2. c54x dsp的中断为低电平沿触发。当产生低电平时,输出给vc5402的int1引脚,每按一次,产生一个低电平脉冲。-C54x DSP interrupt for low level along the trigger. When leads to low electricity at ordinary times, vc5402 output to the int1 pin, each as a, produce a low level pulse.
  3. 所属分类:DSP program

    • 发布日期:2017-04-04
    • 文件大小:10567
    • 提供者:李文秀
  1. 24C02

    0下载:
  2. 本程序用于MICROCHIP 24C02 EEPROM的读写。 TI2047A读写24C02,请根据自动实际的引脚, 改写SCL\SDA的赋值语句-This procedure uses in MICROCHIP 24C02 EEPROM read-write. TI2047A read-write 24C02, please act according to the automatic actual pin, rewrites SCL \ SDA the assignment stat
  3. 所属分类:DSP program

    • 发布日期:2017-04-04
    • 文件大小:779
    • 提供者:veldt
  1. vc5509pin-chinese

    0下载:
  2. TMS320VC5509管脚/引脚定义,中文资料,很有参考价值。-tms320vc5509 pin/pin definition of the Chinese data, a good reference value.
  3. 所属分类:DSP program

    • 发布日期:2017-04-08
    • 文件大小:268087
    • 提供者:jiang
  1. AD01

    0下载:
  2. 捕获单元检测引脚电平的变化,经过调试程序好用了。-Capture unit detects pin changes after the debugger easy to use.
  3. 所属分类:DSP program

    • 发布日期:2017-03-29
    • 文件大小:377163
    • 提供者:李涛
  1. Ex04

    0下载:
  2. 利用外部中断输入引脚(以中断方式)控制步进电机的转动。要求:每产生1次中断,步进电机只能步进1步。-External interrupt input pin (interrupt) control the rotation of the stepper motor. Requirements: each generate an interrupt, stepper motor can only step Step 1.
  3. 所属分类:DSP program

    • 发布日期:2017-04-06
    • 文件大小:891
    • 提供者:qingguozhi
  1. Event-capture

    0下载:
  2. 代码功能:在DSP2812平台上用C语言进行开发实现利用事件管理器EVA中捕获单元的捕获输入引脚检测两个事件变化的时间,即用捕获引脚CAP4检测手动按下开关的时间,至少五次,将两个捕获引脚每次变化是定时器的值存入数据区,手动开关计数值存入200H单元开始的数据区。-Code function: In DSP2812 platform using C language developed to use the Event Manager EVA capture unit to capture in
  3. 所属分类:DSP program

    • 发布日期:2017-03-22
    • 文件大小:838
    • 提供者:Mei
« 1 2 34 5 »
搜珍网 www.dssz.com