CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - 三角波

搜索资源列表

  1. verilog dds

    0下载:
  2. 用verilog 实现dds功能,可以实现方波,三角波等波形的输出
  3. 所属分类:VHDL编程

  1. wavemaker

    0下载:
  2. VHDL做信号发生器:包括三角波、正弦波还有锯齿波-wavemaker-> Tri wave &Sin wave &Saw wave
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3161007
    • 提供者:周常伟
  1. DDS

    1下载:
  2. 可以产生正弦波,三角波、锯齿波、方波,要求频率1Hz-100kHz,步进1Hz,具有自动扫频功能; 正弦波的相位可调,方波的占空比可调; -Can generate sine wave, triangle wave, sawtooth wave and square wave, the required frequency of 1 hz- 100 KHZ, step 1 hz, with functions of automatic frequency sweep The pha
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4448588
    • 提供者:llx
  1. UNI-T_signal

    0下载:
  2. 普源示波器自带信号测试版,CPLD实现,产生方波、三角波、锯齿波等信号-RIGOL signal beta, CPLD implementation, generate a square wave, triangle wave, sawtooth and other signals
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:441893
    • 提供者:linjack
  1. FPGA-PWM-Quartus

    0下载:
  2. 一种基于FPGA产生PWM波的Quartus程序。 包含15分频器、地址译码器、带死区的PWM发生器、计数实现的三角波发生器。-An FPGA-based PWM wave generated Quartus program. Contains 15 dividers, address decoders, PWM generator with dead counted achieve triangular wave generator.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1049621
    • 提供者:叶东林
  1. myproj

    0下载:
  2. 1) 可以产生四种波形:正弦波,方波,三角波,锯齿波。 2) 实现分频可调,分频比从2~256可调,通过两个按键进行+1和-1的调整。 3) 信号幅度可调,幅度增益从1~4倍可调,过两个按键进行+1和-1的调整。 4) 8位数码管的前3位显示分频比,最后一位显示幅度增益,中间的四位分别代表四种波形是否输出,若输出则显示’1’,否则显示’0’。 5) 可实现四种波形的叠加,当有两种波形叠加时,增益不能超过3,当是四种或三种波形叠加时,增益只能为1. -1) can produc
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:170872
    • 提供者:陈伟豪
  1. project_wave_gen_code

    0下载:
  2. 设计并实现一个可产生正弦波、三角波和锯齿波的波形发生器。其工作频率为60MHz,可产生1MHz、2MHz、3MHz、4MHz、5MHz、6MHz、10MHz的正弦波、三角波和锯齿波。所产生波形的幅度、相位均可调整,输出数据的字长为12比特。应用环境为quartus 2-Design and implement a can produce sine, triangle, and sawtooth waveform generator. The operating frequency of 60MH
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1119565
    • 提供者:辛永超
  1. FPGA-I_LOOP

    0下载:
  2. 本程序是三角波产生程序,很实用,是进行PWM拨软件实现的关键软件之一-This procedure is a triangular wave generated procedures, it is practical, is one of the key software PWM to dial the software implementation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:220430
    • 提供者:pjw
  1. DDS

    0下载:
  2. 基于FPGA的数字信号合成器(DDS),采用VHDL语言编写,能够实现正弦波、三角波、方波、锯齿波这四种波形的产生。 提示:最后输出的模块是串行DA,可根据具体情况更改驱动。-Digital synthesizer (DDS) based on FPGA, using VHDL language, to achieve sine wave, triangle wave, square wave, sawtooth waveform generation four. Tip: The la
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-12
    • 文件大小:2800194
    • 提供者:康二栋
  1. wave_generator

    0下载:
  2. 一个简单的函数信号发生器工程源代码,可以产生方波,锯齿波,三角波,正弦波等基本信号,频率可调-A simple function generator project source code, you can generate a square wave, sawtooth, triangle, sine and other basic signal, adjustable frequency
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:631054
    • 提供者:范特
  1. triangle

    0下载:
  2. 这是用vhdl编写的三角波产生程序,比较简单,但是对于开发学者的思维还是有帮助的。当时我受益颇多,拿出分享。-This is a program used to generate the triangular wave vhdl written, relatively simple, but for the development of academic thinking is helpful. At that time, I benefited a lot, come to share.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:542
    • 提供者:殷超
  1. DDS

    0下载:
  2. 基于DDS的信号发生器,产生10KHZ-15KH的正弦波、三角波信号;频率字M按键输入,每次增量1;-DDS-based signal generator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:700689
    • 提供者:
  1. saw

    0下载:
  2. verilog编写,巧妙的通过计数方式完成了三角波的波形,可直接对da输出。-verilog written, cleverly accomplished by counting the triangular waveform can be output directly to da.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:129385
    • 提供者:李俊
  1. NCO

    0下载:
  2. 基于FPGA的DDS设计,通过外接DA转换器输出稳定的正弦波,方波和三角波,可单独产生时钟,不必借助硬件连接,包含寄存器程序,累加器程序和时钟发生电路等,以及顶层设计原理图-The DDS FPGA-based design, through an external DA converter output stable sine wave, square wave and triangular wave, can produce a single clock, without the help
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-21
    • 文件大小:5834111
    • 提供者:孙雨晗
  1. DDS

    0下载:
  2. DDS的FPGA实现(VHDL),只可调频,调幅可于外部DA实现。(内附三角波、正弦波、方波的rom调用)-DDS on FPGA (VHDL), only FM, AM can be implemented in an external DA. (With triangular wave, sine wave, square wave rom call)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:546860
    • 提供者:WSong
  1. fpga_sin_fangbo_vga_sanjiaobo

    0下载:
  2. FPGA作为DDS,三角波,方波,正弦波,然后可以再VGA上显示 里面注释详细,已经仿真,验证,测试了-FPGA as DDS, triangle wave, square wave, sine wave, then you can then VGA detailed notes on the inside, has simulation, verification, testing shows
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-12-18
    • 文件大小:6391808
    • 提供者:杨云飞
  1. dds

    0下载:
  2. 基于FPGA的DDS程序代码,实现的功能强大可以输正弦波,三角波,方波等波形,并且频率可以调节。实现对应的功能强大。-FPGA-based DDS program code can achieve powerful output sine wave, triangle wave, square wave waveform and frequency can be adjusted. Implement corresponding powerful.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-28
    • 文件大小:290931
    • 提供者:黄兴
  1. rom

    0下载:
  2. uart 通用异步收发传输器 接收模块和发送模块 附带了常用的波形 三角波 和正弦波-uart universal asynchronous receiver transmitter receiver module and transmitter modules come with a common triangular wave and sine wave
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-22
    • 文件大小:6234446
    • 提供者:王伟
  1. DDS

    0下载:
  2. DDS函数信号发生器,这是我在xilinx平台上实现的,可以产生不同频率,不同函数形式的函数信号。如三角波,方波等-DDS function generator, this is my on xilinx platform, can produce the function of different frequency signals.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-21
    • 文件大小:6134129
    • 提供者:xu
  1. DDDDDDDDDSSS

    0下载:
  2. FPGA实现DDS正弦波、方波、三角波发生器Verilog程序(已验证)Quartus工程文件-FPGA realization DDS sine, square, triangle wave generator Verilog program (verified) Quartus Project Files
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:2844804
    • 提供者:wangjiali
« 1 2 3 4 5 6 7 89 10 »
搜珍网 www.dssz.com