CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - 交通灯控制器

搜索资源列表

  1. digitalsystemDesign

    0下载:
  2. 第7章数字系统设计实例 7.1 半整数分频器的设计 7.2 音乐发生器 7.3 2FSK/2PSK信号产生器 7.4 实用多功能电子表 7.5 交通灯控制器 7.6 数字频率计-Chapter 7 Digital System Design Example 7.1-integer dividers designed Music Generator 7.2 7.3 2F SK/2PSK Signal Generator 7.4 Table practical multi-f
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:446705
    • 提供者:李唐
  1. nclight

    0下载:
  2. 利用硬件描述语言VHDL设计交通灯电路,设计一个十字路口交通灯控制器,东西、南北方向有红灯、黄灯、绿灯,持续时间分别为45、5、40秒。-use VHDL design of traffic lights at the circuit, the design of traffic lights at a crossroads controller East and West, North-South direction of a red light, yellow light, green li
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:998
    • 提供者:空气
  1. traffic_control

    0下载:
  2. 设计制作一个用于十字路口的交通灯控制器 有一组绿、黄、红灯用于指挥交通,绿灯、黄灯和红灯的持续时间分别为20秒、5秒和25秒; 当有特殊情况(如消防车、救护车等)时,两个方向均为红灯亮,计时停止,当特殊情况结束后,控制器恢复原状态,继续正常运行-design a crossroads for the traffic signal controller is a group in green, yellow and red lights to direct traffic. green,
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2534
    • 提供者:那锋
  1. 十字路口交通灯控制器设计

    0下载:
  2. 使用VHDL实现十字路口交通灯控制器设计,适用于初学者-use VHDL crossroads traffic signal controller design, applicable to beginners
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:29495
    • 提供者:沈燮勇
  1. 交通灯控制器

    0下载:
  2. 很久以前自己写的VHDL实现的交通灯控制器~ 动态数码管控制。altera平台
  3. 所属分类:VHDL编程

    • 发布日期:2011-11-12
    • 文件大小:334706
    • 提供者:congyong1988
  1. trafficlight

    0下载:
  2. 程序提供了一种简单高效的模拟交通灯控制器的算法,非常实用-Procedure provides a simple and efficient simulation algorithm for the traffic light controller, very useful
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:162590
    • 提供者:sh85
  1. starclock

    0下载:
  2. 用DE2开发交通灯控制器,完整的代码和教程,包括电路图-DE2 development with traffic lights controller, a complete code and tutorials, including the circuit diagram
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:88737
    • 提供者:小绵羊
  1. Design_of_Traffic_Light_Controller_Based_on_VHDL.r

    0下载:
  2. :传统的交通灯控制器多数由单片机或PLC来实现,文中介绍了基于VHDL硬件描述语言进行交通灯控制 器设计的一般思路和方法。选择XIL INX公司低功耗、低成本、高性能的FPGA芯片,采用ISE5. X和MODELSIM SE 6. 0开发工具进行了程序的编译和功能仿真。最后给出了交通灯控制器的部分VHDL源程序和仿真结果,仿 真结果表明该系统的设计方案正确。-Traffic light controller is usually developed bymicro p rocesso
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:434672
    • 提供者:li
  1. traffic_controller

    0下载:
  2. 实现交通灯控制器的vhdl编程,并且经过下载验证-Implementation of traffic light controller VHDL programming, and has gone through a download authentication
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1475166
    • 提供者:电子时钟
  1. jtd

    0下载:
  2. 这个是用verilog语言编写的基于FPGA的交通灯控制器,分别控制四个方向上的交通灯的通断-The verilog language is FPGA-based traffic light controller, respectively, the four direction control of traffic lights-off
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:48263
    • 提供者:jyb
  1. CPLD

    0下载:
  2. 摘要:本文主要介绍以CPLD 芯片进行十字路口的交通灯的设计,用CPLD 作为交通灯控制器的主控芯片,采用VHDL 语言编写控制程序,利用CPLD的可重复编程和在动态系统重构的特性,大大地提高了数字系统设计的灵活性和通用性。 关键词:CPLD;VHDL;交通灯控制器 中图分类号:TP39 Abstract :This paper introduces the electronic-traffic lamp, which is based on the VHDL and is co
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:35244
    • 提供者:jimmy
  1. jiaotongdeng

    0下载:
  2. 这是用FPGA实现的交通灯控制器,其中有完整的程序源码及其电路图,自己用过的,希望有些帮助-This is the light controller with FPGA realizing, including a complete program source and its circuit, by oneself, hope some help
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:36760
    • 提供者:yanshuan
  1. 196947shizilu

    0下载:
  2. 使用VHDL实现十字路口交通灯控制器设计,适用于初学者-The realization of the use of VHDL crossroads traffic lights controller design for beginners
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:29298
    • 提供者:uptownman
  1. TLC

    0下载:
  2. 用VHDL语言写的交通灯控制器,希望对大家有所帮助。-VHDL language with the traffic light controller, you would like to help.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:2245
    • 提供者:zdh
  1. jtd

    0下载:
  2. 这是一个用VHDL编写的交通灯控制器,可以控制主干道和乡村公路的红绿灯-It is written in VHDL, a traffic light controller that can control the main roads and rural roads at the traffic lights
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:290491
    • 提供者:蔡斌
  1. jiaot

    0下载:
  2. 一个很简单的交通灯控制器,容易理解,在EP1C3T140C8上跑过-A very simple traffic light controller, easy to understand, in the EP1C3T140C8 ran
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:444012
    • 提供者:陈俊
  1. Verilog_traffic_control

    0下载:
  2. verilog,交通灯控制器,包括左/右拐,红、黄、绿灯。-verilog, traffic light controllers, including the left/right, red, yellow, green.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:985
    • 提供者:世海
  1. TrafficLightControler

    0下载:
  2. 采用状态机方法设计的交通灯控制器,添加了紧急状态,并且具有时间倒计时显示功能,VHDL源代码-a traffic light controller designed by State machine , a state of emergency is added, and a time countdown display, VHDL source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1189
    • 提供者:
  1. traffic_light

    1下载:
  2. 设计一个简单的交通灯控制器,交通灯显示用实验箱的交通灯模块来显示。系统时钟选择时钟模块的1Hz时钟,黄灯闪烁时钟要求为1Hz,红灯15s,黄灯5s,绿灯15s。系统中用CPU板上的复位按键进行复位。(Design a simple traffic light controller, traffic lights show the use of the experimental box traffic lights module to display. System clock select cl
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-19
    • 文件大小:504832
    • 提供者:qscf
  1. jiaotongdeng_fuza

    1下载:
  2. 本文基于FPGA技术的发展和Quartus II开发平台,实现路口交通灯控制器是一种解决方案。使用Verilog HDL硬件描述语言来描述语言程序的分频器模块,控制模块,数据解析模块,显示译码模块和段选位选模块,五个模块,并通过各个模块程序之间的端口合理连接和协调,成功设计出交通信号灯控制电路。在Quartus II环境下模拟,生成顶层文件下载后,在FPGA EP2C5Q208器件进行验证。(Based on the development of FPGA technology and the
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-03-24
    • 文件大小:5611520
    • 提供者:威威谈谈
« 12 3 4 5 »
搜珍网 www.dssz.com