CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - 其他

搜索资源列表

  1. mp3if

    0下载:
  2. 通过CPLD将8位并行数据转换为串行数据并可以采用I2C方式与其他器件连接,可以用于MCU需要与提供I2C接口器件通信的场合。-through CPLD to eight parallel data into serial data and methods can be used I2C connections with other devices, which can be used to provide MCU with I2C Interface Communications occasi
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1205
    • 提供者:hcguan
  1. 8051参考设计_Oregano System 提供_vhdl

    0下载:
  2. 8051参考设计,与其他8051的免费IP相比,文档相对较全,Oregano System 提供-8051 reference design, and other free IP in 8051 compared to relatively entire document, Oregano System for
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:664567
    • 提供者:陈旭
  1. maxshiyan

    0下载:
  2. 大学vhdl语言实验大全,基于max-plus2平台,内有8-3译码器,8位加法器,数字钟,数码显示,74ls138,8,4位计数器,d,rs触发器,加法器,交通灯等,此原码基于长江大学可编程器件实验箱,如要运行在其他平台上需要重新定义管脚-University VHDL language experiment Daquan, based on the max-plus2 platform within 8-3 decoder, 8 Adder, digital clock, digital d
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:865899
    • 提供者:田晶昌
  1. lvds_ch2

    1下载:
  2. LVDS技术: 低電壓差分訊號(LVDS)在對訊號完整性、低抖動及共模特性要求較高的系統中得到了廣泛的應用。本文針對LVDS與其他幾種介面標準之間的連接,對幾種典型的LVDS介面電路進行了討論-LVDS technology : low-voltage differential signaling (LVDS) in the signal integrity, low-jitter model and the total demand higher system, which is wide
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:156045
    • 提供者:凌峰
  1. CPLD_CODE12

    0下载:
  2. 最后一个了,其他的未经验证,以后验证成功后再上传-final one, the other is untested and proved to be successful, then later upload
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:5189
    • 提供者:求知
  1. seg_led_rtl

    0下载:
  2. 使用FPGA控制数码管,在数码管上动态的显示数字,很使用,可以直接作为其他模块的子模块,直接调用-FPGA use of digital control in the digital tube dynamic display figures that use, direct module as other sub-module, called directly
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:7747
    • 提供者:wpb3dm
  1. CRC32_VHDL_SOURCE_CODE

    0下载:
  2. 这是利用VHDL编写的一个CRC32的代码,文档只有代码,具体原理请参考其他文献-This is the use of VHDL prepared a CRC32-code, the document is only a code Please refer to specific tenets of other literature
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:7878
    • 提供者:黎飞飞
  1. simplevhdl

    0下载:
  2. 我是VHDL的初学者,这是我自己编译的简单的几个VHDL码,功能有3-8解码器及其testbench,16位寄存器及其testbench和交通灯。 希望能和其他初学者一起讨论学习,并得到高手的指点-I VHDL beginners, this is my own translation of a few simple VHDL code. 3 -8 function decoder and testbench, 16 Register and testbench and traffic li
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4034
    • 提供者:yvonne
  1. DesignOfEletricClock

    0下载:
  2. 实现一个简单的电子钟,其时间(时,分,秒)可以设置和更改,设置和更改的同时不会影响其他显示的变化(相互独立)。-achieve a simple electronic bell, the time (hours, minutes and seconds) can set and change, Settings and change will not affect the other shows the change (independent).
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:574192
    • 提供者:David
  1. key_prog

    0下载:
  2. 简单易懂的4*4键盘扫描及显示程序。对编写其他形式的键盘扫描程序有一定的指导意义.-easy-to-read 4 * 4 keyboard and display program. To the preparation of other forms of keyboard scan procedures are certain guiding significance.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:144125
    • 提供者:xht
  1. downcnt

    0下载:
  2. 倒数计数器,用于各种乘法器的应用,或者其他应用当中-countdown counter, the multiplier used for various applications, or other applications which
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:782
    • 提供者:朱盼
  1. ji

    0下载:
  2. 这是正玹实现代码,通过LUT来实现的!!!比其他要简单的多!还有方波,三角波的不同的VHDL程序实现. -This is the realization of code are Hsuan Lee, LUT to achieve! ! ! Other than the more simple! There square, triangular wave of the different VHDL program.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:17089
    • 提供者:jiayu
  1. edaTimer

    0下载:
  2. 数字钟的主要功能有年月日时分秒的显示输出功能和对日期及时间进行设置的功能,还可以有整点报时等功能。设计数字钟的核心问题是时钟日期的自动转换功能。即自动识别不同月份的天数的控制。据此可以设计一个如图所示结构的数字钟,该数字钟包括校时模块、月份天数处理模块、时分秒计时模块、年月日模块和输出选择模块。在本实验中,只进行了简单的数字时分秒设计,其他部分还有待下一步改进。-digital clock is the main function Minutes date when the output fun
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:41398
    • 提供者:wangpeng
  1. segdisp

    0下载:
  2. 数码管显示有片选 模块 四输入,与其他模块相连即可使用-digital film of the election showed that four input modules, and other modules can be linked to the use of
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1021
    • 提供者:york
  1. sobel

    0下载:
  2. 这是本人自己编写的可用于256*256大小的图像进行sobel边缘检测的vhd文件,可在QuartusII或MaxplisII下综合和仿真,并在FPGA上测试过。可以进行修改支持其他大小图像的sobel边缘检测,同时还可以实现其它的图像模块化处理算法,例如高斯滤波,平滑等。-this is my own preparation for the 256 * 256 size of the image segmentation Edge Detection vhd document in the n
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3135
    • 提供者:刘洋
  1. veriloguartrx

    0下载:
  2. verilogHDL编写的串口检测程序,自己写的,相对其他的代码,本程序比较简短,初学者容易掌握。
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-16
    • 文件大小:832
    • 提供者:褒奖红
  1. freq_divider

    0下载:
  2. 一个简单的分频器代码,可以套用来作其他频率的分频
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:969
    • 提供者:刘景轩
  1. 200712312258925928

    0下载:
  2. 整点闹钟,可以实现整点闹铃的功能。该程序是本人从其他地方找到了,非本人的
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:14911
    • 提供者:石义敏
  1. vhdl3

    0下载:
  2. 时序电路——抢答器,K1、K2、K3、K4各控制一个按钮,DJ代表主持人,在抢答开始前,DJ先按一下按钮,然后在开始比赛,K1—K4中任意按下按钮后,其他钮按下均无效,重新比赛时,DJ需要再按一下按钮。抢答结果用LED显示。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:97641
    • 提供者:wang
  1. 100vhdl_examples

    0下载:
  2. VHDL100个例子,由于其他原因压缩包内只有93个,如果需要完整的请联系.从最简单的加法到最后的SPARC芯片的源描述.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:235579
    • 提供者:陈夕
« 12 3 4 5 6 7 8 9 10 »
搜珍网 www.dssz.com