CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - 十字路口

搜索资源列表

  1. traffic

    0下载:
  2. 利用verilog编写的程序 实现十字路口交通灯-traffic light
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-01
    • 文件大小:1551991
    • 提供者:lisa
  1. jtd

    0下载:
  2. 十字路口的交通灯控制系统,用实验平台上的LED发光二极管显示车辆通过的方向(东西和南北各一组),用数码管显示该方向的剩余时间。要求:工作顺序为东西方向红灯亮45秒,前40秒南北方向绿灯亮,后5秒黄灯亮。然后南北方向红灯亮45秒,前40秒东西方向绿灯亮,后5秒黄灯亮。依次重复。有紧急事件时允许将某方向一直开绿灯或者开红灯,另外允许特定情况两方向均为红灯,车辆禁行,比如十字路口恶性交通事故时,东西,南北两个方向均有两位数码管适时显示该方向亮灯时间。-Crossroads traffic light
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-10
    • 文件大小:503537
    • 提供者:黄黄
  1. jiaotongdeng

    0下载:
  2. 交通灯(非主流简单写法版):设计一个十字路口的交通灯控制电路,要求甲车道和乙车道两条交叉道路上的车辆交替运行,每次通行时间都设为25秒; 要求黄灯先亮5秒,才能变换运行车道;黄灯亮时,要求每秒钟闪亮一次 。-Traffic lights (non-mainstream the simple wording Edition): design an intersection traffic light control circuit, the alternating A the driveway a
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-23
    • 文件大小:419140
    • 提供者:张小栗
  1. VHDLtrafficlight

    0下载:
  2. 设计一个交通灯,在正常情况下指挥一个十字路口的交通,有红黄绿三种状态,每次绿(红) 灯为三十秒;拥有紧急情况按键,此时相应的道路将一直为绿灯,另一条路则为红灯。 -Design of a traffic light, to commanding a crossroads of traffic under normal circumstances, red yellow and green three states, each green (red) lights for thirty sec
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-10
    • 文件大小:316252
    • 提供者:陈大伟
  1. Traffic_led

    0下载:
  2. 交通灯控制,实现十字路口的交通灯模拟,状态机实现,无时间显示-Traffic light controlled crossroads of traffic lights analog state machine implementation, no time
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-25
    • 文件大小:1824937
    • 提供者:xiefei
  1. Design-of-traffic-lights

    0下载:
  2. 针对十字路口交通信号灯的设计。开发工具为Quartus II 5.1。内含完整报告和可运行程序文件。功能非常好,可做学习参考。-For the design of the traffic lights at the crossroads. Development tools for the Quartus II 5.1. Containing the full report and run the program file. Very good, to do with learning refe
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-17
    • 文件大小:395221
    • 提供者:张宁
  1. jiaotongdeng

    0下载:
  2. 状态机实现十字路口的交通灯红黄绿 代码中用八位的led高三位灯分别表示a路口的红黄绿低三位表示b路口的红黄绿-State machine to achieve the crossroads of traffic lights red yellow and green code with eight high three LED lights denote a junction of red, yellow, and green the lower three b junction of red
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-30
    • 文件大小:437531
    • 提供者:小白菜
  1. traffic

    0下载:
  2. 基于FPGA平台,用VHDL写了一个模拟十字路口交通灯的程序。-FPGA-based platforms to write a simulation using VHDL crossroads traffic lights program.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:333629
    • 提供者:马飞
  1. jiaotongdeng

    0下载:
  2. 交通灯,模拟显示十字路口两个方向的交通通行情况。两个方向均用红、黄、绿灯指示实际状态。用LED同时显示两个方向状态的时间。时间计数方式为倒计数方式。技术参数为绿(红)50秒、黄(红)5秒、红(绿)30秒和红(黄)5秒。-Traffic lights, crossroads analog display case crossings in both directions. In both directions with red, yellow and green indicate the actu
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:65017
    • 提供者:haby
  1. traffic

    0下载:
  2. verilog编写的十字路口交通灯控制程序,每个方向四盏灯,红黄绿和左拐-verilog prepared crossroads traffic lights control procedures in each direction, four lights, red yellow and green and turn left
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:672
    • 提供者:lnn
  1. TrafficLight

    0下载:
  2. 十字路口交通灯VHDL实现。功能:红绿黄状态的基本转换,红绿灯时间交替变化,黄灯缓冲。-Crossroads traffic lights VHDL realization. Function: red, green and yellow state the basic conversion time alternating traffic lights, yellow cushion.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:23135
    • 提供者:novice
  1. traffic

    0下载:
  2. 自动交通控制系统,设计一个具有主、支干道十字路口的交通灯自动控制芯片。 当主干道与支干道均无车辆要求通行时,主干道应保持畅通,亮绿灯,支干道亮红灯。 如果主干道无车,支干道有车,则允许支干道通行,主干道亮红灯,支干道亮绿灯。 如果主干道和支干道均有车要求通行,则两者应交替通行,并要求主干道每次通行30秒,支干道每次通行20秒,并显示剩余时间。 每次绿灯变红灯时,黄灯应先亮3秒钟,并显示绿灯和黄灯剩余时间。 -Automatic traffic control systems,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:24912
    • 提供者:李龙
  1. jtd

    0下载:
  2. 实现十字路口交通灯控制 以及数码管显示 4个交通灯 以上板验证-Crossroads traffic light control and digital display four traffic lights above board verification
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:661931
    • 提供者:梁琦
  1. jiaotongdeng

    0下载:
  2. 利用VHDL语言设计一个十字路口交通灯控制器,分成两个方向,六个灯。三个灯按合理的顺序亮灭。 两个方向的灯亮时间可以进行调节。-Using VHDL design of a traffic signal controllers, divided into two directions, six lights. Three lights blinking at a reasonable order. Time light can be adjusted in both directions.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-23
    • 文件大小:169560
    • 提供者:李一马
  1. code

    0下载:
  2. 通过对十字路口交通灯控制系统的设计,掌握不同进制计数归零的描述方法以 及通过信号使进程进行相互通信的方法。-Through the intersection traffic light control system design, master describes different methods to zero and the decimal counting processes via signal to communicate with each method.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:770
    • 提供者:张双图
  1. VHDL

    0下载:
  2. 有一个实际的十字路口设置有东西、南北两个方向的干道,为确保车辆安全通行,在每条干道的每个入口设置了一组两位数码管显示装置和四组红、绿、黄信号灯,分别用来指示东西方向直行、南北方向直行、东西方向转弯和南北方向转弯;同时设有紧急处理状态,数码管显示可有人工控制,并设有初始化功能。-There is a real crossroads to set something, the north-south trunk road in both directions, to ensure the safe
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:935639
    • 提供者:leitao
  1. 2112312

    0下载:
  2. 模拟交通灯实验,实验报告 用 8255 做输出口,控制十二个发光管(4 组红绿黄灯)的亮灭,模拟十字路口交通灯 管理。-Simulated traffic light experiments report To do with the 8255 output, control twelve light tube (4 groups of red, green yellow) light off, analog crossroads traffic lights Managem
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:91620
    • 提供者:wwrkrwp
  1. jiaotongdeng

    0下载:
  2. 十字路口交通灯的控制,用fpga实现,verilog语言,可实现两个方向红绿黄左拐灯的控制。-Control crossroads traffic lights, with the fpga realize, verilog language, can achieve control of red, green and yellow in both directions left lamp.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:235565
    • 提供者:allen
  1. lab9_1_1

    0下载:
  2. 用verilog模拟一个十字路口的红绿灯。移动信息工程学院实验题-To implement a traffic light in verilog.The experiment of SMIE
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:478793
    • 提供者:Wangchy
  1. verilog-traffic

    0下载:
  2. 模拟一个简单的十字路口交通灯(各个只有红绿黄灯,没有转弯灯)。交通灯一共有4 个状态,一是倒计时60 秒,同时亮南北方向绿灯、东西方向红灯;二是倒计时5 秒,同时数码管闪烁显示‘0’,同时亮南北方向红灯、东西方向黄灯;三是倒计时30 秒,东西方向亮红灯、南北方向绿灯;四是倒计时5 秒,数码管闪烁显示‘0’,东西方向亮黄、南北方向红灯。四个状态循环就构成了一个简单的交通灯(未了降低难度,我们设计简化交通灯,与真实情况不太一样)。-Simulate a simple intersection tra
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:229116
    • 提供者:pudn
« 1 2 3 4 5 67 »
搜珍网 www.dssz.com