CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - 十进制

搜索资源列表

  1. BCD

    0下载:
  2. 二进制代码转十进制,长度可调整,使用环境是modelsim-Binary code decimal
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-23
    • 文件大小:89754
    • 提供者:刘石海
  1. CNT108

    0下载:
  2. 简单的四位十进制加法器-a simple example of
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-24
    • 文件大小:3547980
    • 提供者:aswly
  1. 4BCDcodeaddition

    0下载:
  2. 用verilog实现两个4位BCD码数字的十进制加法计算-4 bit BCD coded decimal addition calculations
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:1787
    • 提供者:小卒
  1. test2

    0下载:
  2. 共阴极七段显示译码电路,EDA用文本输入法设计1位异步清零同步时钟使能的十进制计数器-Seven of the cathode here shows decode circuitEDA use text input method design a asynchronous reset synchronous clock that can counter the decimal
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:117020
    • 提供者:羊羊
  1. EDAshuzimiaobiao

    0下载:
  2. EDA数字秒表 一、总体设计要求: 设计一个数字秒表,共有6位输出显示,分别为百分之一秒、十分之一秒、秒、十秒、分、十分;秒表的最大计数容量为60分钟,当计时达60分钟后,蜂鸣器报警;秒表还需有一个启动信号和一个归零信号,以便秒表能随意启停及归零。 二、技术要点: 1.秒表的逻辑结构主要由显示译码器、分频器、十进制计数器、六进制计数器和报警器组成。 2.最关键的是精确的100Hz计时脉冲如何获得,可由高频时钟信号经分频得到; 3.设计时钟扫描模块seltime和显示译码器
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:922045
    • 提供者:枫叶儿2012
  1. two_ten

    0下载:
  2. 完成二进制到十进制的转换,使用例化语句,包括二选一模块、比较模块、七段数码管显示译码模块。-Complete binary to decimal conversion, the use cases of the statement, including the two selected a module, modules, seven-segment display decoder module.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:297731
    • 提供者:沈桑霞
  1. four-decimal-frequency--meter

    0下载:
  2. 基于VHDL语言设计实现的4位十进制的频率计及其在试验箱上的管脚连接-Based on VHDL language design of the realization of the four decimal frequency meter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:35615
    • 提供者:刘海
  1. adding-counter-

    0下载:
  2. 基于VHDL语言实现的 4位十进制频率计的设计及其仿真-Based on VHDL language implementation of four decimal frequency meter design and its simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:34937
    • 提供者:刘海
  1. counter

    0下载:
  2. 十进制计数器 产生0·999的计数,十进制输出显示即可- counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:13323
    • 提供者:王雪茹
  1. Electronic-Lock-(VHDL)

    1下载:
  2. 开锁代码为2位十进制并行码。 当输入的密码与锁内的密码一致时,绿灯亮,开锁;当输入的密码与所内的密码不一致时,红灯亮,不能开锁。 密码可由用户自行设置。 密码可由七段数码管显示出来。 -The design is based on the VHDL language, using the MAX+ plusII parallel electron two locks design, and design process described in detail. VHDL lan
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-07-07
    • 文件大小:46080
    • 提供者:天街小雨
  1. 10jinzhijishuqi

    0下载:
  2. 基于fpga的十进制计数器,开发环境为maxpius-Decimal counter fpga-based development environment for maxpius
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:55649
    • 提供者:cynthia
  1. exp_cnt_xuehao365_7seg

    0下载:
  2. 计数器 数码管 3位十进制 exp_cnt_xuehao365_7seg.vhd为顶层文件-Counter digital tube three decimal exp_cnt_xuehao365_7seg. VHD for top level file
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:3344
    • 提供者:zone
  1. freq

    0下载:
  2. 在Quartus下VHDL编写的一个频率测试模块,自动转换为十进制数字输出到数码管上。-A frequency test modules written in VHDL in Quartus under are automatically converted to the decimal number is output to the digital tube.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:286947
    • 提供者:voldemortqq
  1. Count-display-circuit-design(VHDL)

    0下载:
  2. 用VHDL语言设计计数显示电路。设计输出为3位BCD码的计数显示电路。由三个模块构成:十进制计数器(BCD_CNT)、分时总线切换电路(SCAN)和七段显示译码器电路(DEC_LED)-VHDL language to count the display circuit. The design output for display circuit 3 BCD count. Consists of three modules: the decimal counter (BCD_CNT), time
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:46405
    • 提供者:hhsyla
  1. Digital-Calculator

    0下载:
  2. 用汇编语言编写一个能实现四则混合运算、带括号功能的整数计算器程序。程序能实现键盘十进制运算表达式的输入和显示,按“=”后输出十进制表示的运算结果。-A four hybrid operation, with brackets function integer calculator program written in assembly language. Program to achieve keyboard decimal arithmetic expression input and out
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:7805
    • 提供者:lyyua
  1. freq

    0下载:
  2. 数字频率计:由一个测频控制信号发生器,八个有使能十进制计数器及一个32为寄存器组成-Digital frequency meter, eight energy decimal counter and a 32 for the registers: a frequency measurement control signal generator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:1297
    • 提供者:万里
  1. VHDL

    0下载:
  2. 硬件描述语言,关于十进制计数器的,有四位和八位的-Hardware descr iption language, the decimal counter, four and eight
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:858
    • 提供者:洪加男
  1. Counter-and-digital-tube-display

    0下载:
  2. 本文十、十二、十六,、六十进制计数器各一个,然后通过数据扫描分时模块与译码器模块在五个数码管上显示计数过程,六十进制计数器高、地位在不同数码管上显示。之后对程序进行调试和运行及仿真,仿真结果符合设计要求时使用JTAG下载到可编程器件中实现软、硬件结合。-This article ten, 12, 16, and six decimal counter counting process, six decimal counter, the status of digital tube displa
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:261432
    • 提供者:胡伟红
  1. Traffic-lights-program

    0下载:
  2. 设计一个交通信号灯控制电路。要求: 1、主干道和支干道交替放行,主干道每次放行30秒,支干道每次放行20秒。 2、每次绿灯变红灯时,黄灯先亮5秒钟,此时原红灯不变。 3、用十进制数字(递增计数)显示放行和等待时间。-The design of a traffic signal control circuits. Requirements: 1, main roads and branch roads alternately release, the main road each re
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:19384
    • 提供者:胡伟红
  1. jpq

    0下载:
  2. 频率计。具有4位显示,能自动根据7位十进制计数的结果,自动选择有效数据的高4位进行动态显示。小数点表示是千位,即KHz。-Frequency counter. 4 shows automatically based on the count of seven decimal automatically select 4 of the valid data for dynamic display. Decimal point, said one thousand, that is, KHz,.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:1511
    • 提供者:冷昌霖
« 1 2 ... 5 6 7 8 9 1011 12 13 14 »
搜珍网 www.dssz.com