CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - 多功能

搜索资源列表

  1. verilog

    0下载:
  2. 多功能数字时钟的verilog语言描述,基于quarters II平台-Multifunction digital clock verilog language descr iption of quarters II-based platforms
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:7303
    • 提供者:lvlv
  1. bxfsq

    0下载:
  2. 用VHDL代码实现的0-40000任意分频,具体分频数可以自己参考进行修改.并用matlab写好各种波形图的MIF文件,然后实现FPGA的一个多功能波形生成器! (平时的课程设计)-Achieved using VHDL code 0-40000 arbitrary frequency, the specific sub-frequency reference can be modified. Matlab written by a variety of waveforms of MIF fil
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:641456
    • 提供者:
  1. SHUZIZHONGVHDL

    0下载:
  2. 多功能数字钟的VHDL编程实现,有与其他数字钟不同的秒表,闹钟等更多功能-Multi-function digital clock of VHDL programming, digital clock with other different stopwatch, alarm clock function, such as more
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:29289
    • 提供者:赵彪
  1. Multi_function_waveform_generator

    0下载:
  2. 多功能波形发生器VHDL程序与仿真.实现4种常见波形正弦、三角、锯齿、方波(A、B)的频率、幅度可控输出(方波A的占空比也是可控的),可以存储任意波形特征数据并能重现该波形,还可完成各种波形的线形叠加输出。 -Multi-function waveform generator and simulation of VHDL procedures. The realization of four kinds of common sine wave, triangle, sawtooth, squ
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:10237
    • 提供者:
  1. VHDL

    0下载:
  2. 运用VHDL描述函数发生器的各个波形,可有构成多功能函数发生器。-VHDL descr iption of the use of various function generator waveforms, can constitute a multi-purpose function generator.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:2914
    • 提供者:李昌积
  1. clock

    0下载:
  2. 基于fpga的多功能数字时钟的实现,已经编译过了,绝对可行-fpga-baseed clock
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:1159
    • 提供者:陈羽
  1. clock

    0下载:
  2. 具有定时可调多功能数字电子钟,本人已经在fpga上调试成功-With adjustable multi-function digital electronic clock timer, I have been successful in the fpga debugging
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1246
    • 提供者:wei
  1. ActelFPGA_MSP_ApplicationNote

    1下载:
  2. 多串口扩展芯片广泛应用于各种多路通信、数据采集场合,它弥补了一般 MCU串口数 量少的不足,扩展了处理器的串口通道,使得处理器能与更多的串口设备进行通信。本方案 采用 Actel Flash 架构的 FPGA 实现扩展多路串口功能,并通过 FPGA 灵活的结构来为用户 定制不同的功能,可以广泛应用于工业控制、智能家居以及其他需要多路串口的场合,该方 案已经被多家公司采纳。 -Multi-serial port expansion chip is widely applied in
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:268385
    • 提供者:zxx359654879
  1. xiaoxipro

    0下载:
  2. 某多功能世界电子时钟产品的verilog 源代码, 包含闰年等众多功能, 可以直接下载入fpga使用-A multi-function products in the world electronic clock verilog source code, including leap year and many other features, can be directly downloaded into the fpga to use
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:623115
    • 提供者:johnnyz
  1. duobo

    0下载:
  2. 使用dspbuilder中的宏模块设计多功能信号发生器,如正弦波,三角波,方波-Use dspbuilder macro module design multi-function signal generator, such as sine wave, triangle wave, square wave
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:514646
    • 提供者:cpuboy
  1. clock

    1下载:
  2. 采用Verilog HDL语言编写的多功能数字钟,包括四个功能:时间显示与设置、秒表、闹钟、日期显示与设置.-Using Verilog HDL language multi-functional digital clock, including the four functions: time display and settings, stopwatch, alarm clock, date display and settings.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-05-14
    • 文件大小:3100375
    • 提供者:陈涵
  1. Digital6Counter

    0下载:
  2. 多功能数字时钟 功能齐全 vhdl fp-Multi-functional digital clock vhdl fpaa
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:385932
    • 提供者:zhou
  1. dianti

    0下载:
  2. 更多功能,有文件直接弄到MAX++里运行-Verilog vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:1279812
    • 提供者:zhu
  1. clock

    0下载:
  2. 多功能数字钟Verilog HDL的源码,能够整点报时,报整点数,设定任意时刻闹钟,低音高音两种频率。-Multi-function digital clock Verilog HDL source code, set the alarm clock at any time, bass treble two frequencies. It s for FPGA.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:984150
    • 提供者:Stone Lei
  1. VerilogHDlclock

    0下载:
  2. 基于VerilogHDL设计的多功能数字钟-Based on the design of the multi-function digital clock VerilogHDL...
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:61572
    • 提供者:杜海彬
  1. clock1

    1下载:
  2. 多功能数字钟实现闹铃,整点报时,校时,仿广播电台报时功能-multifuntional digital clock written in verilog
  3. 所属分类:VHDL编程

    • 发布日期:2017-05-07
    • 文件大小:1431
    • 提供者:sliversnake
  1. shuzizhong

    0下载:
  2. 多功能数字钟的设计,可显示时-分-秒、整点报时、小时和分钟可调等基本功能。-Multifunction digital clock designed to display- minutes- seconds, the whole point timekeeping, hours and minutes, adjustable and other basic functions.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:453456
    • 提供者:huangdeyi
  1. multi-functional_digital_clock

    0下载:
  2. 基于verilog的多功能数字钟,内含各功能模块-Verilog-based multi-functional digital clock that contains the function module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:161653
    • 提供者:music
  1. Clock

    0下载:
  2. 多功能时钟,以调试通过,可以直接用,非常适用于FPGA初学者。-Multi-clock, in order to debug through, and can be very useful for beginners in FPGA.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:653523
    • 提供者:HarrisHuang
  1. yuandaima

    0下载:
  2. FPGA多功能数字钟,描述语言VHDL,软件环境QuartusⅡ-FPGA multi-function digital clock, descr iption language VHDL, Quartus Ⅱ software environment
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:2380
    • 提供者:李敬超
« 1 2 3 45 6 7 8 9 10 ... 16 »
搜珍网 www.dssz.com