CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - 密码锁 数码管

搜索资源列表

  1. lockvhdl

    0下载:
  2. Ve一个简单的数字电子密码锁,密码为4 位。 功能 密码输入:每按下一个键,要求在数码管上显示,并依次左移; 密码清除:清除密码输入,并将输入置为”0000”;密码修改:将当前输入设为新的密码;上锁和开锁.
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-18
    • 文件大小:155080
    • 提供者:刘翔居
  1. codelock

    0下载:
  2. 设计一个简单的数字电子密码锁,密码为4 位。 功能:1、 密码输入:每按下一个键,要求在数码管上显示,并依次左移; 2、 密码清除:清除密码输入,并将输入置为”0000”; 3、 密码修改:将当前输入设为新的密码; 4、 上锁和开锁。 -Design a simple digital electronic locks, password 4. Function: 1, the password input: Each press of a button, requiring
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:514099
    • 提供者:hualiayue
  1. dianzimimasuo

    0下载:
  2. 采用verilog设计,7段数码管进行输入的显示,在DE-2平台上进行密码锁的实现。-Using verilog design, 7-segment LED display for input in the DE-2 platform on the lock implementation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1351
    • 提供者:结界小神
  1. mimasuo

    0下载:
  2. 数字密码锁设计 基本要求: (1)开锁密码为四位十进制数。(2)按任意一个键后,系统进入等待状态,显示0000,此时若按密码输入键就将此刻外接四位输入数据线线上的一个十进制数字读入,将先前输入的数据左移一位,最末位加入刚输入的数据后显示,读入数字超过4个时,只保留最近输入的四个数;若按一下删除键可以消除刚输入的数字,数据右移一位,高位补0。若按确认键,将读入的4位数字与系统密码比较,正确的话开锁,数码管都显示“P”,不正确就显示“E”,拒绝开锁。(3)连续尝试3次没有能开锁进入死锁状态,拒绝
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:328920
    • 提供者:chenpeibei
  1. Digital-Password-Lock

    0下载:
  2. 数字密码锁具体要求如下: 1. 系统密码设置使用拨位开关sw[7:0],限定为4位密码;sw[7:6]、sw[5:4]、sw[3:2]、sw[1:0]分别对应从左到右密码的第1、2、3、4位;每一位的取值范围限定在0、1、2三个数中。 2. 用btn[2:0]作为输入键,btn[0]、btn[1]、btn[2]分别对应的有效输入为十进制数0、1、2(由于btn数有限,系统不支持解锁含有数字3的密码)。 3. 输入的密码显示在7段数码显示管对应位上,顺序为从左至右,未输入密码时数码管
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:4725
    • 提供者:刘东辉
搜珍网 www.dssz.com