CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - 小车 设计

搜索资源列表

  1. CPLDxiaoche

    0下载:
  2. 智能机器小车主要完成寻迹功能,由机械结构和控制单元两个部分组成。机械结构是一个由底盘、前后辅助轮、控制板支架、传感器支架、左右驱动轮、步进电机等组成。控制单元部分主要由主要包含传感器及其调理电路、步进电机及驱动电路、控制器三个部分。本设计的核心为控制器部分,采用Altera MAX7000S系列的EPM7064LC84-15作主控芯片。CPLD芯片的设计主要在MAX+plusⅡ10.0环境下利用VHDL语言编程实现。驱动步进电机电路主要利用ULN2803作为驱动芯片。 -intelligent
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1369
    • 提供者:lili
  1. fpga

    0下载:
  2. 基于EasyFPGA030的模拟开小车的设计和模拟乒乓比赛设计。-To open car simulation based on EasyFPGA030 design and simulation table tennis game design.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1308455
    • 提供者:pudnhh
  1. che

    0下载:
  2. 智能小车寻迹控制程序!!!!何飞飞独家设计!-Smart car tracing control program! ! ! ! He Feifei exclusive design!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:559
    • 提供者:何飞飞
  1. xunji

    0下载:
  2. 电子设计 循迹小车的基本资料以及如何去实现小车的循迹。-Car electronics design tracking basic information and how to implement car tracking.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-21
    • 文件大小:6325706
    • 提供者:guowang
  1. xj2

    0下载:
  2. 基于FPGA,利用VHDL语言对小车循迹进行设计。-Car tracking
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:517
    • 提供者:trees
  1. chaoche

    0下载:
  2. 基于FPGA的Verilog HDL编写的黑线控制小车直线,及检测黑线延迟减速的设计。-FPGA-based Verilog HDL prepared to control the car straight black lines, and testing the black wire delay reducer design.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:418175
    • 提供者:姜敏敏
  1. CAR_LI2

    1下载:
  2. DE1-SOC实验开发板和Verilog HDL语言的交互式程序作品,选择避障小车作为课程设计题目,并根据选题制定了如下设计需求: 1.能实现基本的避障小车功能,即躲避障碍,变速,计分,计时显示游戏开始、进行和结束画面; 2.能实现人机交互功能,玩家可通过外接键盘或DE1-SOC开发板自带按键和开关操作小车转向; 3.能通过VGA在显示屏中显示,并且能达到5Hz的刷新频率; 4.能实现自定义小车和障碍物皮肤的功能;(DE1-SOC experiment development board
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-03-24
    • 文件大小:21147648
    • 提供者:Sven111
搜珍网 www.dssz.com