CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - 幅值

搜索资源列表

  1. DDS_Power

    0下载:
  2. FPGA上的VERILOG语言编程。通过查找表实现直接数字频率合成。在主控部分通过键盘选择正弦波,方波,三角波,斜波,以及四种波形的任意两种的叠加,以及四种波形的叠加;通过控制频率控制字C的大小,以控制输出波形频率,实现1Hz的微调;通过地址变换实现波形相位256级可调;通过DAC0832使波形幅值256级可调;通过FPGA内部RAM实现波形存储回放;并实现了每秒100HZ扫频。-FPGA on the verilog language programming. Lookup table thr
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:16232
    • 提供者:田世坤
  1. sinewave

    0下载:
  2. 6通道正弦波发生器,产生频率,相位,幅值都可调的正弦波形
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1777
    • 提供者:桑武斌
  1. dds

    0下载:
  2. 用FPGA实现DDS,可变频,幅值由硬件完成
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:675423
    • 提供者:liuyu
  1. top

    0下载:
  2. FPGA程序的top.v文件,主要实现DDS信号发生器功能,通过定时器,可简单实现输出幅值无极跳变-FPGA procedures top.v documents, the main function of DDS signal generator, through the timer can be simple to achieve the output amplitude wuji hopping
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:1980
    • 提供者:陈剑
  1. wave_produce_VHDL

    0下载:
  2. --文件名:mine4.vhd。 --功能:实现4种常见波形正弦、三角、锯齿、方波(A、B)的频率、幅度可控输出(方波 --A的占空比也是可控的),可以存储任意波形特征数据并能重现该波形,还可完成 --各种波形的线形叠加输出。 --说明: SSS(前三位)和SW信号控制4种常见波形种哪种波形输出。4种波形的频率、 --幅度(基准幅度A)的调节均是通过up、down、set按键和4个BCD码置入器以及一 --个置入档位控制信号(ss)完成的(AMP的调节范围是0~5V,调节
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:10315
    • 提供者:huangsong
  1. custom_cordic

    0下载:
  2. verilog编程开发的cordic例程,计算SIN,COS功能与计算幅值角度功能可设定,运算宽度可设定,并有完善的TESTBENCH。-Verilog programming developed CORDIC routines to calculate SIN, COS function and calculating the amplitude of the perspective of function can be set, computing the width can be set,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:120544
    • 提供者:yangyu
  1. maichongceliang

    2下载:
  2. 对于已获得的脉冲包络采样序列,需测量的脉冲特征参数主要有:脉冲幅值(PA)、脉冲到达时间(TOA)和脉冲宽度(PW)。实际测量中,脉冲波形的形状是各种各样的,但其主要的参数有脉冲幅度、脉冲宽度、脉冲周期、脉冲占空比、脉冲前沿(上升时间)、脉冲后沿(下降时间)、脉冲上冲、脉冲下冲、脉冲下垂、脉冲顶部不平度等,脉冲参数的计量主要就是对这些参数进行计量。本程序包实现基于FPGA实现脉冲宽度和重复周期的测量。-Who have access to the pulse envelope sample se
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:4729
    • 提供者:求学
  1. BoXingFaSheng

    0下载:
  2. 多功能波形发生器VHDL程序与仿真 功能:实现4种常见波形正弦、三角、锯齿、方波(A、B)的频率、幅度可控输出(方波 --A的占空比也是可控的),可以存储任意波形特征数据并能重现该波形,还可完成 --各种波形的线形叠加输出。 --说明: SSS(前三位)和SW信号控制4种常见波形种哪种波形输出。4种波形的频率、 --幅度(基准幅度A)的调节均是通过up、down、set按键和4个BCD码置入器以及一 --个置入档位控制信号(ss)完成的(AMP的调节范围是0~5V,调节量
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:10019
    • 提供者:梁辰
  1. 123

    0下载:
  2. 多波形信号发生器VHDL代码 频率、相位、幅值、方波占空比可调-mutiple signal productions vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:61771
    • 提供者:王鹏
  1. amplitude-measuring

    0下载:
  2. 通过AD采样测量幅值,并通过平均运算后输出到数码管显示-Measured by sampling the amplitude of AD, and after the operation by the average output to digital display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:1238723
    • 提供者:ljx
  1. jianyishuzipinlvji

    0下载:
  2. (1)基本要求: a.被测信号的频率范围为1~20kHz,用4位数码管显示数据。 b.测量结果直接用十进制数值显示。 c.被测信号可以是正弦波、三角波、方波,幅值1~3V不等。 d.具有超量程警告(可以用LED灯显示,也可以用蜂鸣器报警)。 e.当测量脉冲信号时,能显示其占空比(精度误差不大于1 )。 (2)发挥部分 a.修改设计,实现自动切换量程。 b.构思方案,使整形时,以实现扩宽被测信号的幅值范围 -(1) Basic requirements: a.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:4487
    • 提供者:longking
  1. FPGA-based-function-generator

    0下载:
  2. 本论文设计的任意波形发生器所要实现的基本功能: (1)输出波形的种类:正弦波、方波、三角波、锯齿波、脉冲波、手绘任意波形、任意公式波形。 (2)输出波形每一通道的频率、幅值、偏置都可以由用户调节,并且可以设置多个通道信号之间的相位差。 (3)编辑波形的方式有:设置参数、输入公式、手工绘制通信波特率的全部功能在PC机上实现。 -In this thesis, the arbitrary waveform generator to achieve the basic function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:589995
    • 提供者:loutao
  1. zhenxianfashengqi

    0下载:
  2. 调用SIN输出四路相位不同正弦波发生器,输出信号幅值是0~A-Four different phases called SIN output sine wave generator, the output signal amplitude is 0 ~ ACC
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:42163
    • 提供者:张建佳
  1. sanjiao

    0下载:
  2. 已经是成品了,是三角波测量仪,可以测任意波形频率和幅值,占空比,并自带三角波发生器可以调幅度 0~100占空比 发生频率步进可调,绝对高精度, 里面还有报告-Is already finished, and is a triangle wave measuring instrument can measure the frequency and amplitude of any waveform, duty cycle, and comes with triangular wave genera
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-23
    • 文件大小:7484912
    • 提供者:冯德就
  1. DDS_sin_of_square

    0下载:
  2. 双路DDS的设计与实现,幅值和频率可调,相对误差在 0.01之内-Design and implementation of dual DDS, adjustable amplitude and frequency, the relative error within 0.01
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:62584
    • 提供者:
  1. The-SA4828--software-design

    0下载:
  2. 利用大规模专用集成电路SA4828 设计变频器,可以大大降低CPU 的资源占用,简化硬件电路和软件编程。通过对SA4828 进行初始化编程,可以方便地设定变频器的基本参数包括:载波频率、调制波频 率范围、死区时间、最小删除脉宽、看门狗时间常数、输出波形、频率、幅值、正反转控制等。实验表明,由SA4828 组成的变频器,电路简单,操作方便,运行稳定可靠。-Large-scale ASIC the SA4828 design inverter can greatly reduce the CPU
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:129738
    • 提供者:
  1. Signal-generator-

    0下载:
  2. 信号发生器(方波,三角波,正弦波,锯齿波,正弦波)幅值,频率可调-Signal generator (square wave, triangle wave, sine wave, sawtooth wave, sine wave) amplitude, frequency adjustable
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:3216
    • 提供者:李冰
  1. STATE_9852

    0下载:
  2. FPGA控制DDS芯片AD9852,产生幅值和频率可调的正弦信号-FPGA control AD9852 state
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1244
    • 提供者:李小科
  1. fangbo

    0下载:
  2. 本程序是基于CPLD的方波程序 ,本程序能实现的功能为:产生一定幅值的方波 并且频率可调-The program is based on the CPLD square wave program, the program can realize the function for: produce certain of square wave amplitude and frequency adjustable
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:2463
    • 提供者:毕LONG
  1. TLC_5620_sin

    1下载:
  2. 利用TLC5620产生正弦波,频率47HZ,幅值2.08-Use TLC5620 produce sine wave
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-18
    • 文件大小:4627099
    • 提供者:李刚
« 12 »
搜珍网 www.dssz.com