CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - 弹跳

搜索资源列表

  1. DEBOUNCE

    0下载:
  2. 一个小程序,弹跳消除电路,可消除按健的毛刺干扰-a small procedure, bouncing elimination circuit, according to remove the burr-interference
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:890
    • 提供者:相耀
  1. 2008803

    0下载:
  2. 按键在数字电路设计中经常用到。按键的弹跳现象是数字系统设计中存在的客观问题。按键是机械触点,当接触点断开或闭合时会产生抖动。为使每一次按键只做一次响应,就必须去除抖动。本文对按键的抖动信号进行了分析,并通过计数器的方式完成了消除抖动电路模块的设计
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:230606
    • 提供者:顾鹏伟
  1. keydebounce.rar

    0下载:
  2. FPGA中按键弹跳消除模块的研究与应用,原理和例子都非常好,FPGA to eliminate bounce in key research and application modules, principles and examples are very good
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:230264
    • 提供者:mcuxxq
  1. fpga-fpdpsk

    0下载:
  2. FSK/PSK调制顶层文件 ,正弦波模块 ,正弦波模块初始化文件 ,振幅调整及波形选择模块 ,频率显示值地址产生模块 ,频率步进键核心模块 ,弹跳消除电路-FSK/PSK modulation top-level documents, sine-wave modules, module initialization file sine wave, amplitude adjustment and waveform selection module, the freque
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:27490
    • 提供者:libing
  1. keypadinterfacecontroller

    0下载:
  2. 设计并实现一个4X8键盘接口控制器,含有时序产生电路、键盘扫描电路、弹跳消除电路、键盘译码电路、按键码存储电路、显示电路。要求:当按下某一键时,在数码管上显示该键对应的键值-Design and implement a 4X8 keypad interface controller, with timing generator circuit, the keyboard scanning circuit, bounce elimination circuit, the keyboard deco
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:6178
    • 提供者:zhuimeng
  1. digital-lock

    0下载:
  2. 电子密码锁 功能如下: l、按键接口的设计 包括: 1)键盘扫描电路 2)弹跳消除电路 3)键盘译码电路 4)按键存储电路 2、密码锁的控制电路设计 包括: 1)按键的数字输入、存储及清除 2)功能按键的功能设计 3)移位寄存器的设计与控制 4)密码清除、变更、存储、激活电锁电路 5)密码核对、解除电锁电路 3、输出七段显示电路的设计 包括: 1)数据选择电路 2)BCD对七段显示译码电路 3)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:129774
    • 提供者:ldong1989
  1. Debunce

    0下载:
  2. VHDL 弹跳消除电路,对于FPGA按键很需要-VHDL bounce elimination circuit, it is necessary for the FPGA button
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:632
    • 提供者:yrpk
搜珍网 www.dssz.com