CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - 抢答器 八路

搜索资源列表

  1. EDA

    0下载:
  2. 基于EDA技术的八路智力抢答器的设计,原理图和VHDL程序-based on EDA technology, intellectual Responderbased on EDA technology, intellectual answering device design, process schematics and VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:384572
    • 提供者:何乐
  1. Qdaqi

    0下载:
  2. 基于VHDL语言 实现八路抢答器 有源时钟48mhz 功能为任意按键按下屏蔽其它按键输入-VHDL language based on the active clock 48mhz eight Responder function to any button is pressed the other key input screen
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:287238
    • 提供者:张帝
  1. Vies-to-answer-first-8-is

    0下载:
  2. 这是一个八路抢答器的vhdl程序设计论文,经过eda上机检测通过-This is a vies to answer first the program for 8 VHDL design paper, through computer eda detection through
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:194829
    • 提供者:王洪建
  1. 3110000854

    0下载:
  2. 基于quartus的EDA八路抢答器电子设计.完备的电路图,仿真已经通过-The complete schematic, simulation by electronic design based the quartus of EDA eight-way Responder.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-13
    • 文件大小:1904685
    • 提供者:CCB
  1. qiangdaqi

    0下载:
  2. 基本功能: 1. 八路抢答器,同时供8个选手参赛,编号分别为1到8。每位选手用一个答题按钮和LED灯,选手按下时其灯亮。 2. 给主持人一个控制开关,实现系统的复位、抢答开始和分数清零。 3. 具有数据锁存和显示功能。抢答开始后,如果有选手按下了抢答按钮,其编号立即锁存并显示在LCD液晶显示屏上。此外,禁止其他选手再次抢答。选手的编号一直会保存,直到主持人清除。 -Basic features: 1. Eight Responder, while for eight contes
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:831683
    • 提供者:范鹏
  1. scr

    0下载:
  2. 八路抢答器,包括按键检测,计时,LED显示,蜂鸣器驱动。-8—way responder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:5276
    • 提供者:王美玲
  1. 8-way-responder

    0下载:
  2. 基于FPGA实现8路抢答器功能 使用芯片为EP2C8Q208C8N,实现40秒内8路抢答功能,八路键盘输入,使用Verilog语言编程,本例子有工程文件、仿真、波形,经过测试可以使用。-8 based on FPGA Responder feature uses chips EP2C8Q208C8N, 40 seconds to achieve 8 Responder features eight keyboard input, using Verilog language programm
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1461498
    • 提供者:陈怡然
搜珍网 www.dssz.com