CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - 按键值

搜索资源列表

  1. anjian

    0下载:
  2. 按键输入模块(key): --可编程延时发生器(数字同步机)的前端输入模块:0-9十个数字键按键输入模块原型 --前端模块:消抖 --对i0-i9十个输入端的两点要求: --(1)输入端要保证一段时间的稳定高电平 --(2)不能同时按下两个或多于两个的键 --后级模块:1、编码;2、可变模计数器 --编码模块:8线-4线(0-8 BCD码) --可变模计数器模块:以编码模块输出的32位BCD码为模值-button input module (key) : -- p
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2816
    • 提供者:汪汪
  1. 单片机坐标定时器实验

    0下载:
  2. http://www.edacn.net/cgi-bin/forums.cgi?forum=7&topic=9127下,则R3~R0的输出信号中会有一个为1,但我们还是是无法确定哪一个键被按下,必須要从R3 ~R0 的输出信号与C3~C0的扫描信号共同決定那个按键被按下. 编写VHDL的构思: 外部接口包括: a. INPUT脚 : CLK , R3~R0. b. OUTPUT脚 : C3~C0 , DATA3~DATA0(辨别出的按键值). -7topic http://ww
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1559994
    • 提供者:杨要强
  1. ps2_lcd_1602

    0下载:
  2. 与PS2的通信,PS2按键值发给LCD显示,VHDL语言。-communication with the PS2, PS2 keys to the value of LCD Display, VHDL.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:579647
    • 提供者:jerry
  1. keyboardScan

    0下载:
  2. PS2接口键盘扫描码截取电路,VHDL程序。该程序能够捕获PS2键盘按下的按键值,并将其扫描码转换成ASCII码。
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-17
    • 文件大小:1386
    • 提供者:宁新
  1. keyboard

    0下载:
  2. 键盘输入实验 实验要求:利用实验板上的4×3小键盘,当检测到有键按下时,读取按键值并在LED数码管中显示该值。-Keyboard input test test requirements: use of experimental board 4 × 3 keypad when a key is detected, the read key value and the LED digital display the value.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:1175
    • 提供者:吴凡
  1. wave_produce_VHDL

    0下载:
  2. --文件名:mine4.vhd。 --功能:实现4种常见波形正弦、三角、锯齿、方波(A、B)的频率、幅度可控输出(方波 --A的占空比也是可控的),可以存储任意波形特征数据并能重现该波形,还可完成 --各种波形的线形叠加输出。 --说明: SSS(前三位)和SW信号控制4种常见波形种哪种波形输出。4种波形的频率、 --幅度(基准幅度A)的调节均是通过up、down、set按键和4个BCD码置入器以及一 --个置入档位控制信号(ss)完成的(AMP的调节范围是0~5V,调节
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:10315
    • 提供者:huangsong
  1. EXP4_sec

    0下载:
  2. 秒表 4个7数码管中的任何一个显示任意按键按下的次数。初始值为0,当计数到9时,下一次数值为0。利用Verilog HDL语言,编程实现上述功能。-Stopwatch
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:504335
    • 提供者:dsds
  1. example5

    0下载:
  2. 用 8 个按键对应 8 个数字显示,初始值为 0。按 key1 到 key8 可以显示 1到8 的数值。-With 8 keys corresponding to eight figures show that the initial value of 0. By key1 to key8 can display 1 to 8 values.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:28624
    • 提供者:panda
  1. TX_SCI

    0下载:
  2. 简单的串口程序,根据矩阵键盘的输入从串口输出按键值 (0-F)-Simple serial program, according to the input matrix keyboard key value from the serial output (0-F)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1546605
    • 提供者:Domo
  1. KEY4X4

    0下载:
  2. 这是4X4的矩阵键盘扫描程序,直接返回按键值-This is a 4X4 matrix keyboard scanner, return the key value directly
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:14394
    • 提供者:陈泉
  1. spianjian

    0下载:
  2. 从板meg88检测有无按键按下,若有按键按下,触发meg16主板外部中断,开始spi通信,然后将按键值返回给meg88,并用键盘显示按下的按键值-From the board meg88 detect any key press, if button is pressed, the external interrupt trigger meg16 board began spi communication, and will be key to return to meg88, and pres
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:101662
    • 提供者:nannan
  1. fdd

    0下载:
  2. 按键消抖,对时钟沿计数决定是否将bin值给内部的按键值。-Debounced buttons, whether on the edge of the clock count within the bin value to the key value.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:86907
    • 提供者:房间奥斯
  1. juzhenjianpan

    1下载:
  2. 4*4矩阵键盘,并在8位数码管上显示按键值-4* 4 matrix keyboard, and 8-bit digital value displayed on the button
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:959
    • 提供者:陈凯源
  1. FA161_LCD_display

    0下载:
  2. 联华众科FA161的开发板上实现LCD显示的一个工程文件,编程语言Verilog。可以在LCD上显示按键值。-Lianhua Zhongke FA161 development board LCD display, a project file, programming languages ​ ​ Verilog. The key values ​ ​ can be displayed on the LCD.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-27
    • 文件大小:535626
    • 提供者:冬瓜
  1. PS2

    0下载:
  2. PS2键盘驱动程序。。非常实用简单。。。适用于初学者。。。ps2键盘输入,,,LED显示输入的按键值-PS2 keyboard driver. . Very simple and practical. . . For beginners. . . ps2 keyboard input,,, LED display input key values. . . .
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-27
    • 文件大小:177243
    • 提供者:周健
  1. KEY

    0下载:
  2. 利用VHDL实现4X4键盘的扫描和译码,并且在数码管显示相应的按键值。-Use VHDL to achieve 4X4 keypad scanning and decoding, and displays the corresponding value in the digital keys.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-30
    • 文件大小:12618731
    • 提供者:冯凯
  1. ps2

    0下载:
  2. ps2键盘扫描程序verilog实现,将按键值转化为扫描值-ps2 keyboard scanner verilog realization, the key will be converted to scan values
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:785
    • 提供者:huqiao
  1. key_board

    0下载:
  2. 本设计是实现一个4*4矩阵按键键盘设计,将矩阵按键的按键值通过串口发送到上位机-The design is to achieve a 4*4 matrix keyboard design, the matrix keys to the value of the button to send to the host computer through the serial port
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:652497
    • 提供者:li
  1. 05.按键控制

    0下载:
  2. 我们来尝试一下使用Arduino的I/O 口的输入功能即为读取外接设备的输出值,我们用一个按键和一个LED 小灯完成一个输入输出结合使用的实验;(Let's try to use the input function of the I/O port of Arduino, that is, to read the output value of the external device. We use a button and a LED lamp to complete an input and
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-29
    • 文件大小:877568
    • 提供者:acba
  1. Exp_5

    0下载:
  2. 数码管动态显示,可以将输入的按键值显示在数码管上。(Dynamic display of digital tube)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-03
    • 文件大小:2600960
    • 提供者:黑河浪人
« 12 3 »
搜珍网 www.dssz.com