CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - 按键显示

搜索资源列表

  1. zong

    0下载:
  2. 基于FPGA的频率计设计:通过不同的按键设置,可检查0.01-100M不同频段的频率,并通过数码管显示-FPGA design is based on the frequency meter: different set of keys, you can check 0.01-100M different frequencies bands, and through a digital display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-23
    • 文件大小:6968983
    • 提供者:gqk
  1. Rectangular-wave-generator

    0下载:
  2. 采用直接数字频率合成(DDS)技术原理设计,由按键设置输出频率,数码管低5位显示输出频率值;按键设置输出信号占空比,数码管高两位显示输出信号占空比,占空比类型为固定为7种:8:1、4:1、2:1、1:1、1:2、1:4、1:8、,对应显示为:8.1、4.1、2.1、1.1、1.2、1.4、1.8。-Direct digital frequency synthesis (DDS) technology design principles, the output frequency is set b
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:6196
    • 提供者:maxiaolei
  1. anjian

    1下载:
  2. 用FPGA实现按键对数码管显示的数字控制,兼有加数、减数功能。使用两个按键及两个数码管。-To achieve key display of the digital tube digital control with FPGA, both the addend, meiotic function. The use of two keys and two digital tube.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:3791984
    • 提供者:李慎
  1. FPGAbasedprogramable-PROWER

    0下载:
  2. 本设计提出了一个基于FPGA的程控稳压电源的方案。通过按键向FPGA输入信号,FPGA得到“十位”和“各位”计数脉冲信号,通过计数器模块计数,内部计数器的信号一路送给外部显示电路来显示当前的电压值,另一路经过D/A转换器(DAC0832)输出模拟量,再经过运算放大器隔离放大,控制输出功率管的基极,随着功率管基极电压的变化而输出不同的电压,同时实现双路输出。实际测试结果表明,本系统具有易调节,高可靠性,操作方便,电压稳定度高,其输出电压采用了数字显示的特点。-This design present
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1255803
    • 提供者:南宫崔浩
  1. VHDL-Multi-fuction-Clock

    0下载:
  2. 设计一个多功能数字钟,要求显示格式为小时-分钟-秒钟,整点报时,报时时间为10 秒,即从整点前10 秒钟开始进行报时提示,喇叭开始发声,直到过整点时,在整点前5 秒LED 开始闪烁,过整点后,停止闪烁。系统时钟选择时钟模块的10KHz,要得到1Hz 时钟信号,必须对系统时钟进行10,000次分频。调整时间的的按键用按键模块的S1 和S2,S1 调节小时,每按下一次,小时增加一个小时,S2 调整分钟,每按下一次,分钟增加一分钟。另外用S8 按键作为系统时钟复位,复位后全部显示00-00-00。-T
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-23
    • 文件大小:7658196
    • 提供者:冯雨娴
  1. phone

    0下载:
  2. 电话按键显示器,能够正确显示按键数字,数字能够从右向左移动,能够存储当前电话号码,具有重拨功能,删除,查询已拨号码等功能-Phone keypad display, capable of displaying the correct key figures, figures can move right to left, it is possible to store the current phone number, with a redial function, delete, query
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1130832
    • 提供者:董君
  1. code

    0下载:
  2. 动态扫描键盘,然后把按键结果显示在LCD上,相关使用去抖功能-Dynamic scan keyboard, and then the key results are displayed on the LCD, the use of the shake function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:766
    • 提供者:李娜
  1. Display_7seg

    0下载:
  2. Basys 3 开发板入门实验,按键控制7段数码管显示试验。-Basys 3 development board entry test, key control of the 7 section of the digital tube display test.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-28
    • 文件大小:486802
    • 提供者:罗密
  1. Digital-Password-Lock

    0下载:
  2. 数字密码锁具体要求如下: 1. 系统密码设置使用拨位开关sw[7:0],限定为4位密码;sw[7:6]、sw[5:4]、sw[3:2]、sw[1:0]分别对应从左到右密码的第1、2、3、4位;每一位的取值范围限定在0、1、2三个数中。 2. 用btn[2:0]作为输入键,btn[0]、btn[1]、btn[2]分别对应的有效输入为十进制数0、1、2(由于btn数有限,系统不支持解锁含有数字3的密码)。 3. 输入的密码显示在7段数码显示管对应位上,顺序为从左至右,未输入密码时数码管
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:4725
    • 提供者:刘东辉
  1. DA_TLC5620

    0下载:
  2. FPGA之TLC5620:将所给程序下载到实验箱,观察现象并结合现象理解程序的含义,使其实现单通道的DA转换:在按下通道的按键之后,用数码管显示输入的数字量,停止按键,数码管计数停止,继续按键则继续计数,按下复位键,则系统清零,数码管显示零值。此程序基于Quartus的编程环境,采用Veilog语言编写。-FPGA tlc5620: to the program downloaded to the box observed phenomenon and combined with the phe
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:2590070
    • 提供者:丁明凯
  1. Display

    0下载:
  2. CPLD按键消抖控制,数码管显示。已调试通过。可直接使用-CPLD key consumer shake control, digital tube display. Debug through. Can be used directly
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-30
    • 文件大小:296301
    • 提供者:将成
  1. scope_new

    0下载:
  2. 本实验,为 ZX-2 开发板的综合实验,该实验利用 ZX-2 开发板上的 ADC、独 立按键、 UART 等外设, 搭建了一个具备丰富功能的数据采集卡, ZX-2 开发板负 责进行数据的采集并将数据通过串口发送到 PC 机上, PC 端,利用强大的串口调 试工具——串口猎人,来实现数据的接收分析,并将数据分别以波形、码表、柱 状图的形式动态显示出来,以让使用者能够直观的看到 ADC 采集到的信号细节。 同时,用户也可以使用串口猎人通过串口给下位机( FPGA) 发送指令,下位
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-16
    • 文件大小:24395805
    • 提供者:啧啧啧
  1. scr

    0下载:
  2. 八路抢答器,包括按键检测,计时,LED显示,蜂鸣器驱动。-8—way responder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:5276
    • 提供者:王美玲
  1. lab06

    0下载:
  2. 设计一4*4bit的寄存器文件 具备一组读端口及一组写端口 通过读端口可从0~3号的任意地址读取数据 通过写端口可向0~3号的任意地址写入数据 读写端口为“全双工”的工作方式 0~3号寄存器的复位值依次为“1、2、4、8” sw4~sw7为写数据端口 sw2~sw3为写地址;sw0~sw1为读地址;led0~led3用来显示读数据;写使能用按键实现;读使能可选 -Design of a 4* 4bit register file includes a read por
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-30
    • 文件大小:286067
    • 提供者:李元月
  1. AnJian_1602

    0下载:
  2. 计算器设计。采用了现场可编程逻辑器件FPGA设计,并基于VHDL语言实现加减乘除功能,并用十进制显示在数码管上。计算部分为加法器、减法器、乘法器和除法器组成。使用Altera公司的QuartusII开发软件进行功能仿真并给出仿真波形,并下载到试验箱,用实验箱上的按键开关模拟输入,用数码管显示十进制计算结果。通过外部按键可以完成四位二进制数的加、减、乘、除四种运算功能,其结果简单,易于实现。-Calculator design. Using a field programmable logic d
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-31
    • 文件大小:13138994
    • 提供者:陈勒
  1. VGA

    0下载:
  2. 基于FPGA的VGA动态显示设计,采用Verilog语言,只要实现VGA动态地显示图片,还有花纹,棋盘,以及按键控制音乐的声响等等。。。。有需要的就下来看看吧。-FPGA-based VGA display dynamic design, using Verilog language, as long as the VGA achieve dynamic display pictures, as well as patterns, board, and a key to control musi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-28
    • 文件大小:10878446
    • 提供者:jav
  1. LED_seg7

    0下载:
  2. 基于DE2-70开发板的八个按键7段数码管的检测程序,可控制数码管刷新显示0-f等十六个字符-Based on the DE2-70 development board eight buttons seven segment digital tube detection procedures, can be controlled digital refresh pipe 0 f 16 characters
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:371120
    • 提供者:吉泽
  1. stopwatch

    0下载:
  2. 具有计时功能。此秒表有3个按键(reset, start,select)按下reset键后,秒表清零,按下start键后,开始计时, 再次按下start键后, 停止计时,按下 ,可以轮流切换显示秒和分钟,百分一秒。-With timing function.This stopwatch has three buttons (reset, start, select) after pressing the reset button, a stopwatch reset, press the sta
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2428712
    • 提供者:孙锐群
  1. example3_counter_add_up

    0下载:
  2. vhdl语言实现对数码管的显示和对按键的控制,在对按键进行控制时可以实现连加、连减的功能显示。-VHDL language implementation of the digital display and control of the button, in the control of the button can be achieved even add, even the function of the display.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-30
    • 文件大小:36018
    • 提供者:张琼
  1. Gameone

    0下载:
  2. 此秒表有两个按键(reset, start)按下reset键后,秒表清零,按下start键后,开始计时, 再次按下start键后, 停止计时, 用FPGA开发板上的两个七段数码管显示时间(以秒为单位),计时由0 到 59 循环。 高级要求(可选):实现基本要求的前提下,增加一个按键(select),用于轮流切换两个七段数码管分别显示百分之一秒,秒,分钟。 规格说明: 1.通过按下reset键(异步复位),将秒表清零,准备计时,等检测到start键按下并松开后,开始计时 。如果再次检测
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:2789199
    • 提供者:XiaoLiuMang
« 1 2 ... 11 12 13 14 15 1617 18 »
搜珍网 www.dssz.com